• 통큰쿠폰이벤트-통합
  • 통합검색(314)
  • 리포트(309)
  • 시험자료(3)
  • 논문(2)

"7 SEGMENT DECODER" 검색결과 1-20 / 314건

  • 한양대 Decoder & 7-Segment
    실험 목적7-Segment74LS47 (BCD to 7-Segment Decoder)의 정의를 이해한 후, Pin map과 Truth table을 이용한 결과에 LED BAR를 ... 관련 이론이번에 사용하는 74LS47 소자는 7-Segement 1개를 사용하기 위해선 10개의 핀이 필요하지만, 4개의 핀만으로도 나타날 수 있게 해준다. 74LS47와 비슷한 소자로
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습10 7-Segment/Decoder 회로 설계 예비보고서
    Segment 구동 회로 설계Decoder7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 실습 준비물부품저항 330Ω, 1/2W, 5%: 8개Decoder 74LS47: 1개Inverter 74HC04: 8개7-Segment: 1개Switch: 4개사용장비오실로스코프(Oscilloscope ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000********
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.06
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • MUX & 7-SEGMENT LED DECODER
    코드설명module mux_decoder(clk, clr, select, seg_out);inputclk, clr, select;output [7:0] seg_out;reg [3:0 ... ] up_count;reg [3:0] down_count;reg [3:0] mux_out;reg [7:0] seg_out;always@(posedge clk or posedge clr
    리포트 | 7페이지 | 1,000원 | 등록일 2015.03.13
  • BCD To 7Segment Decoder 설계
    1.명제1.1명제 : BCD to 7Segment Decoder & Atmega1282. ... 이번 설계에서는 2개의 스위치를 이용해 Up-Down a 및 Odd-Even으로 7-Segment 수의 변화를 결정한다.4.2.3 7-Segment7-Segment는 Atmega와 ... AVR프로그램을 이용해 자신에게 필요한 C소스를 구성해 Atmega128에 주입시켜 스위치를 이용해 7-Segment의 2진수에 대한 Up-Down Counter 및 Odd-Even
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • 아주대학교 논리회로 설계 과제 1. 7 Segment Decoder vhdl
    -7 Segment decoder를 이용해 학번을 출력-설계 방식은 if, case, with ~select, when 등을 택해서 설계-Block diagram이나 Structure ... 형태로 날짜를 출력-201200001 형태로 학번을 출력-꼭 2개의 출력이 있어야 함1. 7 segment 2진수로 표현된 0~9까지의 입력이 들어갔을 경우 해당되는 7 segment
    리포트 | 11페이지 | 1,500원 | 등록일 2013.11.28
  • BCD 7-Segment Decoder 설계제안서
    보낸다.▷ 7-Segment : 7447(74LS47) IC Chip에서 Decoding된 신호를 진리표에 따라LED가 점등된다.순번Input(4 Switchs)Output(7-Segment ... 칩이다. 7-SegmentDecoder IC라고도 부르며, 2진수 4비트로 0~9까지 숫자를 입력하면 출력으로 A~G까지 FND의 LED를 켜 주는 IC칩이다. ... 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    설계과정BCD TO 7-SEGMENT DECODER의 설계 과정은 다음과 같다.(1) BCD TO 7-Segment Decoder의 회로를 구성한다.(2) 구성된 회로를 PADS LOGIC에 ... 명제▶ BCD TO 7-SEGMENT DECODER를 설계하고 설계된 LOGIC을 실제로 구동하여 2진 입력을 받았을 경우 구상한 진리표대로 작동하는지 확인한다. ... 설계 결과 보고서BCD TO 7-SEGMENT DECODER 설계Group:Subject:Professor:Major:Student Number:Name:Due Date:목 차1.명제12
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • [Flowrian] BCD to 7-Segment Decoder (TTL 7448)의 Verilog 설계 및 시뮬레이션 검증
    TTL 7448 회로는 4 비트의 BCD 값을 받아 7 세그멘트 (Segment) LED를 구동하는 신호로 변환하는 디코더 회로이다. ... 수준의 코드에서 자동으로 생성될 수 있고, Verilog 언어로 설계를 배우는 독자에게는 RTL 수준의 코드가 보다 교육적이기 때문이다.TTL 7447 회로와 논리적 동작은 동일하나 7
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.07
  • 7-Segment Decoder using Decimal to BCD Converter
    [7],K[8],K[9]);endmoduleDecimal to Seven Segment Decoder의 WaveformDecimal to Seven Segment Decoder Hierarchy위의 ... 7-Segment Decoder using Decimal to BCD ConverterDecimal to BCD Converter를 Codingmodule Deci_to_BCD(X, ... ],In[0]);endmodule7-Segment Decoder를 Codingmodule Deci_to_Seven(X,S,COM);input [9:0]X;output [6:0]S;wire
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
  • [Flowrian] BCD to 7-Segment Decoder/Driver (TTL 7447)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7447 회로는 4 비트의 BCD 값을 받아 7 세그멘트 (segment) LED를 구동하는 신호로 변환하는 디코더 회로이다.- TTL 7447 회로에 대한 문서에는 게이트들로
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.07
  • Max+ 7 세그먼트 디코더 ( 7 Segment decoder) 설계
    ieee;use ieee.std_logic_1164.all;--===============================================[Library]entity ud_decoder4 ... Ain : in std_logic_vector(3 downto 0); Dout : out std_logic_vector(9 downto 0));end ud_decoder4 ... _10Vector;--===============================================[Define Entity]architecture sample of ud_decoder4
    리포트 | 5페이지 | 1,000원 | 등록일 2007.03.29
  • 논리회로 실험 ALU,DECODER,7-SEGMENT 입니다.
    DECODER-DRIVER)왼쪽의 그림은 7 - SEGMENT DECODER - DRIVER의 핀 배치도이다. ... 논리회로 실험 레포트1.SN74LS47N (BCD TO 7-SEGMENT DECODER-DRIVER)2.SN74LS382N (ARITHMETIC LOGIC UNITS)ALU3.7-SEGMENT ... (WCN-0056SR-A11R)4.IN74LS138N (Decoder/Demultiplexer)실험자 : 전우성 (32052117)SN74LS47N (BCD TO 7-SEGMENT
    리포트 | 6페이지 | 2,000원 | 등록일 2007.09.28
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 10. 7-segment Decoder 회로 설계 A+ 예비보고서
    실습 준비물* 부품 저항 330Ω, 1/2W, 5% : 8개 Decoder 74LS47 : 1개 Inverter 74HC04 : 8개 7-Segment : 1개 Switch : 4개 ... segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. ... Breadboard) : 1개 파워서플라이(Power supply) : 1대 함수발생기 (Function generator) : 1대 점퍼선 : 다수10-3 설계 실습 계획서10-3-1 7-
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    Segment 구동 회로 설계Decoder7-segment 를 이용한 7-segment 구동 회로를 설계한다.( Hyperlink "https://knshwj.tistory.com ... 가 필요하며, 7개의 segment 를 점등하기 위해 7개의 출력 bit 가 필요하다.실험에서 사용하는 74LS47 디코더의 핀 구성도와 논리회로도, 진리표, 7-Segment 출력은 ... Decoder7-segment 사이에 삽입하였다.
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 ... common cathode type이라면 3번핀과 8번핀은 low voltage(GND)에 연결해야 한다.74LS47 Decoder의 출력과 7-Segment LED의 입력 사이에 ... 각 세그먼트의 허용 전류를 넘지 않도록 하기 위해 저항을 연결해 준다.7-Segment LED가 Common Anode type일 때, 다음의 경우에 대해 LED가 어떻게 출력되는지
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 아날로그및디지털회로설계실습_예비보고서10_7segment&decoder
    실험목적7-SegmentDecoder를 이해하고 관련 회로를 설계한다.10-3. ... Segment 구동 회로 설계Decoder7-segment를 이용한 7-segment 구동 회로를 설계한다.-74LS47의 Datasheet-7-Segment 구동회로Inverter ... 설계실습 계획서10-3-1 7-Segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefGdisplay0000********
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.17 | 수정일 2020.11.27
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, 7segment 실험결과보고서
    실험 목적Decoder를 이해하고 7segment decoder 회로를 설계할 수 있다.Chapter 2. ... 대부분의 논리회로는 2개 값의 신호를 받아들이기 때문에, 10진수는 2진수의 항들로 표현되어야 한다.ü Decoder - 2진수 입력값을 10진수 값으로 변환하여 출력한다.- 입력값
    리포트 | 10페이지 | 2,500원 | 등록일 2023.02.28
  • 10. 7-segment / Decoder 회로 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 10 결과보고서-7-segment / Decoder 회로 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :10-4. ... 설계실습 내용 및 분석10-4-1 7-Segment 특성 확인주어진 7-Segment의 Type(Anode Common type, Cathode Common type)을 확인하고 각 ... 따라서 본 실험에서 사용한 7-Segment는 Anode Common Type임을 알 수 있었다.Anode Common type은 common pin인 3번 핀과 8번 핀을 전압을
    리포트 | 3페이지 | 1,000원 | 등록일 2022.10.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대