• 통큰쿠폰이벤트-통합
  • 통합검색(2,019)
  • 리포트(1,862)
  • 시험자료(87)
  • 자기소개서(38)
  • 방송통신대(16)
  • 논문(8)
  • 서식(3)
  • ppt테마(3)
  • 이력서(2)

"ls -a" 검색결과 121-140 / 2,019건

  • LS산전 합격 자소서 2020하반기
    LS산전합격자기소개서INDEXA. 합격스펙B. 자기소개서A. ... 문제발생시, 다른 분야의 엔지니어 분들과 협업하여 해결하겠습니다.3.성장과정중 본인의 현재 모습에 가장 큰 영향 을 준 사항 500[도전의 원동력은 열정]부산-서울 548km 구간을 ... 채용설명회와 상담회에 참석하며 ls산전에 대한 열정을 키웠습니다. LS산전의 전력 송변전기술은 세계적인 기술력으로서 업계를 선도하고 있습니다.
    자기소개서 | 6페이지 | 5,000원 | 등록일 2021.04.26 | 수정일 2021.05.19
  • [유닉스 시스템 프로그래밍] 0. 실습환경 구성
    Ubuntu 설치구글에서 ubuntu download를 검색한 후, 우분투 홈페이지에서 버전 20.04.3 을 다운 받는다.Vmware을 실행한 후에 Create a New Virtual ... 명령어 10개 실행 캡처1. ls : 현재 위치의 파일 목록을 조회하는 명령어2. cd : 디렉토리를 이동하는 명령어3. touch : 파일의 용량이 0인 파일을 생성, 날짜 변경하는 ... 명령어4. mkdir - 디렉토리를 생성하는 명령어5. cp - 파일을 복사하는 명령어6. mv - 파일을 이동시키는 명령어7. rm - 파일을 제거하는 명령어8. cat - 파일의
    리포트 | 4페이지 | 1,000원 | 등록일 2022.02.09
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    2진 카운터와 8진 카운터가 독립적으로 내장- 2진 카운터 : 입력은Input``A이고 출력은Q _{A}- 8진 카운터 : 입력은Input``B`이고 출력은Q _{D} Q _{C} ... Q _{B}- 16진 카운터 : 입력은Input``A에,Q _{A}를Input``B _{}에 연결하고 출력은Q _{D} Q _{C} Q _{B} Q _{A}③ IC 비동기식 카운터 ... 오실레이터를 사용해서 10MHz의 주파수를 발생시킨다.2) 분주 회로74LS390에는 2개의 BCD counter가 들어있어 주파수가 74LS390을 거친 후에는 (1/100)으로
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 동결건조 및 진공건조를 통한 건조속도 및 free moisture 변화 비교
    (h)Ls=Ws*0.001A(m^2)dXdtDrying rate R =-(Ls/A)*(dX/dt)자유수 함량 X0~0.50.0002030.0008-0.687460.50.354.9455800050.5 ... 특정 시점에서 감소 폭이 급격히 증가하였으며, 그 후 감소 폭이 급격히 줄어드는 모습도 보였다.시간(h)Ls=Ws*0.001A(m^2)dXdtDrying rate R =-(Ls/A) ... A는 시료의 표면적(m^2)을 의미하는데 시료의 크기는 1.5cm*1.0cm*0.9cm이므로 시료의 표면적을 계산하면, 0.015m*0.01m*2 + 0.01m*0.009m*2 +
    리포트 | 10페이지 | 3,000원 | 등록일 2022.01.09
  • 주주와 경영자 사이에서 발생할 수 있는 대리인문제의 실제사례를 조사해보고, 그 해결방안을 서술하시오
    보통 이러한 정책은 감사위원회 제도 및 사외이사 등의 형태로 도입되어 있다.a. ... 주인-대리인 문제는 주인의 이익보다 대리인이 대리인 자신의 이익을 위해 행동할 때 주로 발생한다. ... LS전선은 매출액 대비 연구개발비 비중에서도 1%로 대한전선(0.6%)보다 높은 비중을 보였으며, 현재 LS전선은 이탈리아 프리즈미안, 프랑스 넥상스에 이어 세계 전선업계 3위를 차지하고
    리포트 | 5페이지 | 2,000원 | 등록일 2020.07.22
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습10 7-Segment/Decoder 회로 설계 예비보고서
    실습 준비물부품저항 330Ω, 1/2W, 5%: 8개Decoder 74LS47: 1개Inverter 74HC04: 8개7-Segment: 1개Switch: 4개사용장비오실로스코프(Oscilloscope ... =A'C+BD+AB'C'D' b=BD+AB'C+A'BCABCD000111100010111111110ABCD0001111000101111111011c=CD+A'BC'D' d=A'B'C ... -2 불리언식 구하기Karnaugh 맵을 이용하여 간소화 된 Sum of product 또는 Product of sum 형태의 불리언 식을 구한다.ABCD00011110000001010110111110101100ABCD00011110000000010110110111100101a
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.06
  • [응용 전기전자 실험] D / A 변환기 예비보고서
    조사하고 동작원리를 간단히 설명하시오.* 74LS90은 그림과 같은 JK Flip Flop으로 이루어져있다.1) 74LS90 IC에 대해서: 이 IC는 간단히 말해 입력으로 클럭 ... 전압 가산형 D/A 변환기 실험(4.4.1)의 1)을 Pspice를 이용하여 진행한 후, 표를 기입하시오.D3D2D1D0Y1Y2D3D2D1D0Y1Y20000-29mv-28mv1000 ... A/D, D/A 변환기에 대해 설명하시오.1) A/D 변환기: 아날로그 신호를 디지털 값으로 바꾸는 것을 A/D변환이라 한다. 이 과정은 D/A변환기 보다 더 복잡하여 어렵다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.12
  • 제10장 래치와 플립플롭 결과보고서
    공급하라.- 74LS00소자를 빵판에 구성한다.- 소자에 공급전원을 연결한다: 7번 핀은 GND, 14번 핀은 +5V를 인가한다.4) 회로에 내부결선부터 연결하라.- 회로를 구성하면서 ... 설정한다.- 다시, 싱글모드를 선택한다.- 화면의 결과: 빈 화면이 나온다.7) 스위치를 누른다(즉, a지점을 연결한다).- 화면에는 정지된 파형이 관측될 것이다.- 수평스케일 계수값을 ... /div로 설정한다.- 트리거기울기를 상승에지로 설정한다.- 다시, 싱글모드를 선택한다.- 화면의 결과: 빈 화면이 나온다.3) 스위치를 뗀다(즉, 스위치를 c지점에서 a지점으로 이동시킨다
    리포트 | 6페이지 | 1,500원 | 등록일 2020.02.10
  • 아날로그 및 디지털 회로 설계 실습- 실습3(스텝 모터 구동기) 예비보고서
    주어진 표의 5번 조건은 data sheet의 3번 조건에 해당하는데 이는 A, B, C, D에 입력한 값이 그대로 shift없이 출력된다는 것을 보여준다.3-3-3 ULN2003An ... -2 범용 이동 레지스터 74LS194의 data sheet를 인터넷에서 찾아서 계획서에 첨부하시오. ... 설계실습3 예비보고서(스텝 모터 구동기)3-3 설계 실습 계획서3-3-1 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • c로 배우는 쉬운 자료구조 7장(큐)
    큐가 포화상태가 되어 더 이상 작업을 할 수 없게 되는 시점을 설명하여라.A 삽입 → B 삽입 → 삭제 → C 삽입 → 삭제 → 삭제 → D 삽입 → 포화상태임을 인식하고 더 이상 ... getch();system("cls");}void enroll(LQueueType *LQ) //큐에 삽입. ... ;printf("\n계속 하실려면 아무키나 클릭하세요\n");_getch();system("cls");}LQueueType *createLinkedQueue() //큐 만들기.
    리포트 | 12페이지 | 5,000원 | 등록일 2022.05.20
  • 시스템프로그래밍 ( Bruce Molay - Understading Unix-Linux Programming) 교재에 대한 1장부터 6장까지 요약 정리한 파일입니다.
    화면 단위로 출력. ls –l /etc | more 처럼 파이프(|)와 함께 자주 사용됨less - 위 아래, 아래 위 모두 이동 가능. ... a communication system called pipes.ps - report a snapshot of the current processesfg – continues a ... It is parser.dc – stack-based calculator, such as postfix.It communicates with a process running dc through
    시험자료 | 5페이지 | 4,000원 | 등록일 2021.05.09 | 수정일 2022.07.27
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 stopwatch설계 예비
    이상의 과정이 완료 되면 조교의 확인을 받는다.2-(A)그림2, 3을 보면 74LS192의 12번 핀은 terminal count up output이라고 되어있고, 논리식은bar{TC ... LE에는 LOW voltage를 인가한다.)12-4-1의 회로를 작성했다.아래 표는 BCD 카운터인 74LS192의 데이터 시트 일부이다.그림 1그림 2그림 3우리는 0부터 9까지 ... 이와 같이 사용하는 7-segment 역시 common-cathode를 이용해야 한다.1. 기본적인 클럭 생성 회로 및 카운터 회로 테스트(A).
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.23
  • 메카트로닉스 마이크로프로세서 Shift Register
    저전력으로도 사용할 수 있다는 특징을 지니고 있다.74LS47 IC : 핀으로 입력받은 BCD 값에 따라 a~g 핀 중 특정 핀에만 전류를 흐르게 하여 해당하는 segment에만 ... 출력포트 확장을 통해 7-segment에 0.3초 간격으로 0부터 99까지 증가(심화)- 실습에 사용된 핵심 부품과 프로그램마이크로컴퓨터 싱글보드74HC59574LS47 IC7-segmentCodeVisionAVR ... 3.32a Evaluation-CodeVisionAVR 프로그램 : 코드비전AVR 프로그램은 ATMEL 사의 AVR 마이크로 콘트롤러 패밀리를 위해 설계된 통합 개발 환경 및 자동
    리포트 | 8페이지 | 2,000원 | 등록일 2021.06.11
  • 전자기학 설계 report
    C/m]λ 반경이 a 인 내부원통 도체의 선전하밀도가 λ [ C/m] 이고 반경이 b 인 외부원통 도체의 선전하밀도가 - λ [C/m] 라고 하면 내부원통에서 외부원통으로 전계가 발생한다 ... r( p) = 30 mm λ =100 C/m Conductor Resistance =0.0221 - λ [ C/m] + λ [ C/m]반경이 a 인 내부원통 도체의 선전하밀도가 λ ... 중심으로부터 r[m] 떨어진 원통사이의 임의의 점 P 의 전계의 세기 (l = 1m) 일때정전용량 도체사이의 전위차자 계의 세기인덕턴 스LS 전선에서 카탈로그를 보고 구글 및 여러
    리포트 | 18페이지 | 2,500원 | 등록일 2021.01.07
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    둘의 구분이 불가능했다.STEP 3:다음의 회로를 구성한다.그림3-1 74LS125와 74LS04를 이용한 회로그림3-2 그림3-1회로의 구현STEP 4:입력 DIO0, DIO1, ... 따라서 B의 입력 데이터가 A로 출력되는 방향을 가지게 된다. DIR이 high라면 반대로 A의 data가 B로 출력될 것이다. ... 이를 검출하기 위해서 먼저 D0 – D7이 보내려고 하는 1-byte data라고 할 때, sender의 74LS280은 VCC를 포함해서 D0 – D7 중에서 1의 개수가 홀수인지
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • ncs 정보능력 정리, 2021최신판
    a : 숨어있는 파일도 표시 / ls ? t : 생성시간을 기준으로 최신 것부터 파일표시- cp ? ... - tail : 파일의 마지막 부분 출력- touch : 파일 용량이 0인 파일을 생성, 날짜 변경- rm : 파일 삭제- ls : 파일 목록 조회 / ls ? ... R dir cdir : dir(디렉토리)을 cdir(디렉토리)이라는 이름으로 복사한다.- cat : 내용을 출력하는 함수- A > B : A의 내용을 B에 덮어 씀. / A >> B
    시험자료 | 3페이지 | 2,000원 | 등록일 2021.10.16
  • [텀프] 아날로그회로설계(op-amp) 텀프로젝트 스마트신발장
    이러한 점을 이용하여 74LS04를 이용하여 값이 반대로 되었을떄 UV LED를 점등 시켰다. 또한 FAN은 5V에 0.2A로 작동을 하는 FAN을 사용하였다. ... (Buffer)74ls04 ic는 디지털 논리게이트 소자로 ic안에 총 6개의 not gate(buffer)가 내장되어있다. ... LED 제어브레드 보드 구현 (LED부 평상시)빛이 차단 됐을 때 HIGH(LED ON)조도센서와 74LS04를 이용하여 UV LED를 제어했다.레이저 커팅기완성된 모습3.
    리포트 | 16페이지 | 3,000원 | 등록일 2019.12.30 | 수정일 2020.01.02
  • 아날로그 및 디지털회로설계실습 실습10(7-segment decoder 회로설계)예비보고서
    ’=A’B’ + A’C + D + B’CAB CD0001101100100X010X0X10100X110X1Xg’=B’C + BC’ + A’B + D7-segment의 입력 각각을 나눠 ... 00111110100001001021100000011030010100110041010010010050110110000061110000111170001000000081001000110090101111001010110111001101100111011100121011011010013011111100001411111111111Blank74LS47 ... 교재 p86을 참조하였다.10-3-2 불리언식 구하기AB CD0001101100001X010X1X10100X110X0Xa’=AC + D + A’C’ + ABAB CD0001101100000X010X1X10001X110X0Xb
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • (기초미시경제론) 최저임금 상승이 경제에 미치는 영향을 분석하시오
    -Q _{b} )/Q _{a}} over {(P _{a} -P _{b} )/P _{a}}수요의 가격탄력성은 수요의 법칙에 따라 일반적으로 부(-)의 값을 가지기에 부호보다는 절대 ... {TRIANGLE LS} over {TRIANGLE W} BULLET {W} over {LS} ,{TRIANGLE LD} over {TRIANGLE W} BULLET {W} over ... 이러한 내용을 표로 정리하면 다음과 같다.상품대체효과소득효과대체효과+소득효과정상재---열등재기펜재가 아닌 경우-+-기펜재-++3.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2020.01.29
  • 소화기계 의학용어
    taiti]42A형 간염Hepatitis A[hep?taitis ei]43B형 간염Hepatitis B[hep?taitis biː]44C형 간염Hepatitis C[hep? ... 바륨관장 x-ray4BRBPRbright red blood through rectum[brait red bl?d θruː rekt? ... ls?r]38궤양성 대장염ulcerative colitis[??ls?reiti k?laitis]39장꼬임volvulus보빌로스40담석gallstone[??ːlsto?
    리포트 | 9페이지 | 2,000원 | 등록일 2024.02.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대