• 통큰쿠폰이벤트-통합
  • 통합검색(233)
  • 리포트(197)
  • 자기소개서(34)
  • 시험자료(2)

"VHDL 설명" 검색결과 121-140 / 233건

  • 4개의 입력과 1개의 출력을 가지는 회로를 여러 가지 방식으로 표현하고 이를 VHDL로 표현
    GATEABFABFAA'InputOutputABF000010100111InputOutputABF000011101111InputOutputAA'0110· NOT AND GATE로 위에서 설명했다시피 ... VHDL일 시뮬레이션 결과이다. ... VHDL 코드 구성이 식과 진리표를 토대로 VHDL 코드를 작성하고 나중에 시뮬레이션했을 때의 파형과 진리표를 비교해서 참, 거짓을 판별한다.3.
    리포트 | 34페이지 | 7,000원 | 등록일 2010.06.24
  • 신의손) 네이버 합격 자기소개서
    이 점에 있어 다양한 프로젝트를 수행하는 데, 개발언어와 tool은 필수적인 요소였습니다.지금 다룰 수 있는 프로그래밍 언어는 C와 VHDL로서, 주로 전공 관련 tool에서 코딩을 ... 네이버 합격 자기소개서Hand of God's합격 자기소개서● 자신있는 개발언어 2가지와 해당 언어로 작성했던 가장 성공적이었던 프로그램을 설명하시오.저는 전자공학을 전공했지만, 프로그래밍은 ... 이용한 프로젝트에서 대부분 우수한 평가를 받으며 프로그래밍과 관련된 역량을 키울 수 있었습니다.● 가장 관심있게 수강했던(읽어봤던) 전공과목 또는 전공서적을 선택하고, 그 이유를 설명하시오.첫
    자기소개서 | 3페이지 | 3,000원 | 등록일 2014.07.19
  • 경희대학교 논리회로 레포트
    =-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-① 문제 정의 : 다음의 용어를 설명하고 ... ABEL, AHDL, Confluence, CUPL, HDCaml, JHDL, Lava, Lola, MyHDL, PALASM, RHDL, 베릴로그, VHDL등이 있다.5) CAD Tools
    리포트 | 3페이지 | 2,000원 | 등록일 2016.04.17
  • (디지털시스템설계)VHDL Digital Stop Watch 제작 계획서
    및 팀원별 역할 3 Main Project 의 중요성 1VHDL 작성의 복습과 응용능력 실질적인 프로젝트에 적용하는 능력 . ... Stop Watch Design midterm presentation Main Project 조 (,,,) 계 획 서List Main Project 의 목표 2 Project 내용 설명 ... Project 내용 설명 입 - 출력장치 ( Input-Output Device ) Stop Watch 의 설계 조건 Stop Watch 동작 설계(1) Stop Watch 설계 조건
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.01
  • VHDL을 이용한 신호등 설계 프로젝트
    VHDL을 이용한 코딩 & 분석-코딩분석은 의 Process를 바탕으로 설명하겠습니다.Process 1. ... 실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량신호등과,
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • Orcad를 이용한 PCB 설계
    VHDL 형식 : 하드웨어 표현 언어로 나타내는 네트리스트 형식d. ... Orcad를 이용한 PCB 설계2011.12.29-상위 Tool bar 상세 설명-1)부품참조의 갱신(Annotate)->핀 번호 정렬 방법부품종류부품참조 접두기호저항R컨덴서C코일L트랜스포머TICUTR ... VHDL tab선택하여 다른 option은 다 default로 하고 Netlist 파일 지정 후 ok버튼2. PCB layouta. .dsn파일 클릭b.
    리포트 | 8페이지 | 1,500원 | 등록일 2013.05.26
  • 디지털회로실험 17장. 동기 카운터
    동기식 카운터의 VHDL 기술리스트 8.18은 회로도에 기반한 기술인데 반해 리스트 8.19는 각 플립플롭 [D]의 논리식에 기반한 기술동기식에서는 덧셈 연산자 [+]를 사용한 리스트 ... 실험 3에서 SW2의 기능을 설명하라.- SW2는 출력을 UP, DOWN 시켜주는 입력이다.10. ... 실험 3-2)의 결과로부터 인에이블(EN)의 기능을 간단히 설명하라.- 인에이블에 1이 들어가면 STOP 역할을 한다.6.
    리포트 | 13페이지 | 1,000원 | 등록일 2014.04.07
  • 최신 2017 SK Hynix 합격 자소서 (SK 하이닉스)
    어려운 내용 설명을 정리하여 인포그래픽으로 표현해 그들의 눈에 맞추어 쉽게 설명하여 내용과 전달력의 균형을 잡도록 노력하고 있습니다.그 효과로 하루에 3천 명이었던 기업블로그 방문자가 ... 이를 위해 FPGA로 개발된 Verilog(VHDL)의 소프트웨어를 통해 하드웨어system의 구현을 하였고, 특히 FPGA로 10개 정도의 프로젝트를 수행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2017.09.09
  • FPGA HBE-COMBO, VHDL를 이용한 CARGAME 최종보고서
    소스로 설계를 시작하였습니다.부품 하나하나 설명을 하겠습니다.▣ LCD? ... 블록도(게임진행과정 및 순서)▶ 블록도로 간단히 설명하자면.Kit에 업로드를 실시합니다. ... 디지털 시스템 VHDL을 이용한 CAR_GAME 설계1. 제작동기▶ FPGA를 이용한 HBE - COMBO 시리즈로 무엇을 할 수 있을까?
    리포트 | 111페이지 | 1,000원 | 등록일 2010.06.16 | 수정일 2017.07.03
  • 2 port Or gate 설계
    이해하기 쉬운 조교님의 설명과 교수님의 실험 자료 덕분에 별다른 어려움 없이 실험을 진행 할 수 있었다 . ... 토의 이번 설계 ( 실험 ) 은 VHDL 을 이용하여 OR GATE 를 설계하는 것이 목표였다 . ... 처음 사용해보는 VHDL 이였기 때문에 AND GATE 를 먼저 설계해본 후 OR GATE 를 설계하였다 .
    리포트 | 12페이지 | 1,500원 | 등록일 2010.09.09
  • HBE-COMBOⅡ 를 이용한 주유기기 구현
    진행상태를 LED와 Motor를 통해 출력한다.③ 동작원리 설명 및 소스OIL.VHD< 메인파트 >LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ... 과제 진척 상세 내용① 목표- VHDL 언어 와 HBE-COMBOⅡ KIT를 이용하여, 주유기기를 구현함으로서 VHDL 언어 와 KIT의 기본원리를 파악, 그 능력을 향상 시키고자 ... 과제 범위- Altera Quartus Ⅱ를 사용한 VHDL 디지털 논리회로 설계4.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.11.28
  • VHDL을 이용한 IR리모컨 구현및 시뮬레이션과 데모
    간단히 설명하면 먼저 Headcode가 발생하고 다음 Cumstomcode가 2번 반복되어 나온다. ... VHDL Code 및 Simulation가. Core나. Input, Output다. Top Block2. DemoⅣ. 결론Ⅴ. 참고문헌Ⅰ. ... 설계최종보고서IR remote-con Transceiver최아랑 전자공학과 20721767( Choi Ah Rang 20721767 )요 약IR 리모컨 송신부의 동작 원리를 이해하고 VHDL
    리포트 | 11페이지 | 4,000원 | 등록일 2010.12.27
  • [VHDL] Entity, Architecture, VHDL, Process문
    다시말해 외부와의 통신을 위한 입출력 선을 정의하는 것을 Entity 선언이라고 한다.앞서 설명했듯이 엔터티 선언(entity declaration)은 설계 회로의 입출력과 모듈의 ... 지난 연재에서 설명했듯이 기본적으로 몸체 내부에서는 절차적 모델링과 구조적 모델링이 가능하다.-- 엔터티 선언문의 형식entity 엔터티_이름 is[generic (범용문_표시자); ... VHDL(Very High Speed Integrated Circuit Hardware Description Language)의 약자로 디지털 시스템을 표현하는 언어이다.VHDL
    리포트 | 4페이지 | 1,500원 | 등록일 2009.05.04
  • [합격자소서]2018,CHA 차의학전문대학원 자기소개서
    부), 전공학위 / 수료평점평균 (4.5 또는 4.3)4.01 / 4.5■ 봉사활동내역(고등학교 입학 이후)※ 학교생활기록부를 비롯하여 증빙자료가 있는 봉사활동만 기재기간활동명시간설명 ... 그리고 시교육청에서 열린 과학축제에 참가하여 ‘혈중 pH 농도 측정하기’ 프로그램을 성공적으로 운영하며 한층 성장할 수 있었습니다.학부 시절에는 과목 프로젝트로 VHDL 언어를 이용한
    자기소개서 | 6페이지 | 30,000원 | 등록일 2018.06.09 | 수정일 2024.01.02
  • 플립플롭및레지스터결과보고서
    코드 설명 -? ... 실험순서① 병렬 레지스터를 VHDL 기술 ... 회로2.2 실험2[RS 플립플롭]- 실험 방법 및 내용 설명 -?
    리포트 | 14페이지 | 1,500원 | 등록일 2009.05.25
  • VHDL-Pre lab - Mux and DeMUX
    즉 우리가 VHDL 코딩 할 때의 상황에서 설명하자면, Chip Enable인 변수 E가 E(3 downto 2) = “10” 일 때 회로가 동작을 한다는 것을 의미 한다.- write ... 여기서 변수 E는 위에서도 설명했지만, Chip enable로 지정함을 의미하고, A는 address 신호임을 의미 한다. ... for testing the DEMUX- Do a simulation- Look up 74LS138 in the TTL databook앞에서 74LS138 1x8 DEMUX 에 대해 설명
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • 2-port Nand,Xor, 3-port AND gate의 설계
    바꾸어 설명하면 이 게이트는 입력 수에 상관없이 1의 개수가 홀수이면 그 출력은 1이고 짝수이면 0이 된다. ... VHDL 설계에서 외적 변수를 나타내는 것으로 신호가 흐르는 선(Wire)으로 표현되는 것이다. ... 관련 기술 및 이론(4) 객체(Object) VHDL에서 신호, 변수, 상수와 같이 어떤 값을 가지고 있는 것을 객체라고 한다.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • VHDL-Pre lab - Mbit 가산기와비교기 !! (A+리포트 보장)
    솔직히 말하면 이를 coding 하기 이전에 VHDL 언어에 대한 기본적인 학습이 절대적으로 필요하다. 이전까지 실험에 쓰였던 VHDL 언어는 초급에 불과하다. ... 코딩하는 중간중간에 주석을 달긴 했지만, 좀더 자세히 흐름에 따라 설명해 보도록 하겠다.우선 4bit adder는 구성성분, 즉 부품으로써 1bit adder를 사용하게 된다. ... 그렇지만 위에서도 설명했듯이 우리가 원하는 것은 8bit adder.즉 이러한 작용을 하는 1bit adder가 8개로 연결된 것이라 보면 된다. 8번의 과정을 거치므로 계속적으로
    리포트 | 17페이지 | 2,500원 | 등록일 2009.06.29
  • 응용논리회로 텀프로젝트 제안서
    바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 마련하기 위하여 선정하였습니다. ... Term Project 주제 및 설계설명- 디지털 시계 설계- 시(Hour), 분(Minute), 초(Second)를 가지는 시계를 표시 및 설정 할 수 있고, 월(Month), 일 ... 또한 지금까지 응용논리회로설계 수업 시간에 배운 다양한 디지털 회로 및 소자의 VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고 판단되어 이번 프로젝트를
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 실질적으로 LCD에 표시할 문자를 나타낸 부분이다. < 00 : 00 : 00 >을 의미하는 것으로, 설명은 코드 주석과 같다. ... 가산점 ( LCD ) code 전체 코드보다는 segment와 다른 부분과 그에 대한 설명을 씀 entity에서는 LCD에 필요한 LCD_A, LCD_EN, LCD_D를 지정해 주었다
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대