• 통큰쿠폰이벤트-통합
  • 통합검색(9,282)
  • 리포트(8,319)
  • 자기소개서(460)
  • 시험자료(298)
  • 방송통신대(177)
  • 논문(18)
  • 서식(6)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)

"논리회로" 검색결과 121-140 / 9,282건

  • 논리회로실험 실험6 예비보고서
    실험 목표- 실험을 통한 여러 가지 부울 대수 규칙의 증면- 규칙 10과 11을 증명하기 위한 논리의 구현- 실험을 통한 3-입력 변수 회로에 대한 진리표의 작성과 드모르간의 정리를 ... 펄스 발생기와 출력신호를 오실로스코프로 동시에 관찰한다2) 단계 1에서의 회로를 그림 6-2의 회로로 바꾼 후 오실로스코프로 출력신호를 관찰한다. ... 실험순서 요약정리1) 그림 6-1의 회로를 구성한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.23
  • 논리회로실험 결과보고서 디코더 엔코더
    논리회로설계 실험 결과보고서 #4실험 4. 디코더 & 엔코더1.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로실험 실험4 결과보고서
    . - 그림 4-1의 회로에서 을 닫으면 접지와 연결되어서 XOR 게이트의 1번핀에 0이 입력되어서2번핀에 입력되는 펄스가 반전되지 않는다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.03
  • 디지털 논리 회로 실험 NOT 결과 보고서
    디지털 논리 회로 실험 결과 보고서실험 1. 기본 논리 게이트◎ 실험 1-1. ... 처음 회로를 구성을 해봐서 많이 해매고, 간단한 회로였지만 남들보다 시간은 좀 걸렸던 것 같았습니다. ... NOT Gate- 실험 방법 : 7404 IC 핀 배치도를 참조하여 게이트 6개 중 1개를 선정하여 그림의 NOT게이트 회로를 구성 한다. 7404의 7번 핀은 접지하고 14번 핀은
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31
  • 디지털 논리 회로 실험 XOR 결과 보고서
    디지털 논리 회로 실험 결과 보고서 (3차)실험 3. ... 것 같아서 정신 바짝 차리고 회로를 구성했었습니다. ... 생각보다 오차가 조금 크게 나와서 당황해서 오차가 생긴 이유를 생각해 봤는데 아마 측정 방법에서 제대로 측정하려는 회로에 접촉을 잘 못해서도 있다고 생각이 들었고, 회로 판 자체가
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31
  • 디지털 논리회로 ) OR게이트 , NOT게이트 조사
    디지털 논리회로OR게이트 , NOT게이트 조사제목 : OR게이트 , NOT게이트 조사[실험목적]- 디지털 논리 회로논리식 중 OR 게이트와 NOT게이트의 개념에 대해 알아본다.- ... 논리합이라고도 표현하며 단순히 회로에서 스위치 연결하여 결과를 확인했을 때 병렬 연결과 같은 결과를 보인다. ... OR 게이트1) 회로 구성회로는 스위치, 저항, Power Supply, LED를 이용하여 구성한다.
    리포트 | 4페이지 | 5,000원 | 등록일 2021.07.19
  • 디지털 논리회로 3주차 예비보고서
    ‘정논리회로 , 1을 꺼진 것으로 , 즉 반대로 생각하는 것을 ‘부논리회로 라 해서 서로 상보적으로 구분합니다. ... 정논리에서는 1이 켜지는 상태 즉 active high라 한다면 부논리 회로에서는 0이 켜지는 상태가 되는 것이므로 active low가 되는 것이다.2. ... 디지털 논리실험 및 설계 3주차 예비보고서실험 준비기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.2-bit 복호기는 2개의 input값을 받는다. input값은 이때
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 논리회로설계실험_디코더/엔코더 레포트
    논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    1.목적(Purpose)이번실습은 FPGA의 LCD를 조작하는 실습이다. 이전 실습이었던, 7segment를 조작하는 방법과 같이 Process 여러개를 이용하여, 클럭값과, LCD의 각 값을 조절한다. 최종 목표는 LCD상에, 학번과, 이름의 이니셜을 나타내는 것이고..
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    1.목적(Purpose)이번실습은, FPGA의 버튼들을 이용하여, 값을 입력하고, 덧셈과 뺄셈 연산을 하는 계산기를 만들어 보는 실습이다. 이전 실습에서 배웠던, LCD의 표시기능을 그대로 이용하고, 각 버튼마다 실행동작을 지정하여 계산기의 기능을 구현한다. LCD에 ..
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 순차논리회로기초 실험 예비보고서
    개요디지털 논리회로 교과에서 학습한 순자 논리 회로의 동작을 아두이노를 이용해 되풀이 해보고. ... 유지할 수 있는 회로이며 순차 회로의 기본요소이다. 조합논리회로에 비해 플립플롭은 이전상태를 계속 유지하여 저장한다. ... 래치는 입력되는 순간 바로 반영하기 때문에 플립플롭처럼 엣지의 시점을 결정하는 논리회로가 없어도 되므로 래지의 논리회로가 간단하다.D 플립플롭D 플립플롭(flip - flop)은 광범위하게
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.14
  • [논리회로설계실험]VHDL을 통해 구현한 RAM
    Enable이 1이고 Clk이 바뀔때마다, 4bit의 주소값이 들어와, ram안에서 주소값을 이용하여 해당하는 위치의 데이터값을 출력으로 내보내는 회로이다.그림1.
    리포트 | 15페이지 | 2,000원 | 등록일 2021.06.26
  • 디지털 논리회로 실험 1주차 기본 논리 게이트 결과보고서
    B를 뜻하는 회로였다. 위의 회로로 실험한 결과, 논리값은 A?B의 진리표와 같은 값이 나왔다. ... 디지털 논리회로 설계 및 실험결과보고서주제 : 기본 논리 게이트 (AND, OR, NOT Gate)소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자 ... 논리 부정 회로라고도 불리는 NOT 게이트는 입력한 신호를 반전하여 출력 반대로 입력신호가 ‘1’이면 ‘0’이 출력된다. 이번 실험에서는 총 3번의 반전이 일어난다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.04.22
  • 4주차 예비 보고서 18장 기본 논리 소자를 활용한 논리 회로
    기본 논리 소자를 활용한 논리 회로 예비 보고서실 험 일2021.04.02.학 과전기정보공학과학 번성 명1. ... 공급하기 위해 사용하는 장치로써 전압크기를 일정 범위 내에서 가변공급하기 위하여 사용한다.2. 7404를 이용하여 반전 신호를 만들고자 할 때 회로를 어떻게 구성해야 하는지 설명하시오 ... 단자를 구분해 선을 꼽는다.3. output1을 출력하려면 가변출력단자 채널 1번에 연결을 하고 전압을 5V가 나오도록 맞춘다.4. 0.3V씩 전압을 내린다.직류전원장치는 회로에 직류전원을
    리포트 | 1페이지 | 2,000원 | 등록일 2023.02.24 | 수정일 2023.03.14
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    스탑워치, 카운터, 날짜표시등 우리의 일상에서 흔히 볼 수 있는 것들이 어떻게 논리회로적으로 코딩되고, 알고리즘화 되는지 알 수 있었다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 논리회로설계실험 6주차 D Latch 설계
    1) Objective of the Experiment(실험 목적)이번 실습의 목표는 D Latch를 Behavioral modeling, Dataflow modeling, Gate-level modeling, 그리고 Structural modeling으로 구현하는 것..
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 논리회로설계실험 2주차 XNOR gate 설계
    1) Objective of the Experiment(실험 목적)이번 실험의 목적은 Truth table과 Boolean expression으로 나타내고 Verilog 코드를 구현하는 3가지 방식인 Behavioral modeling, Gate-level modeli..
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 논리회로 이론 및 설계 14주차 과제
    리포트 | 1페이지 | 1,000원 | 등록일 2020.11.30
  • 기본논리회로 / AND, OR, NOT, NAND, NOR
    기본 논리회로와 디지털 IC2-1. 기본논리회로① 기본논리회로란? ... 2진 신호 입력을 가지고 논리적 판단 AND, OR, NOT을 수행할 수 있는 회로① 집적회로 = 기본논리소자 or 게이트 : 논리적 동작에 있어 기본적인 AND, OR, NOT 동작 ... 등을 수행③ 진리표 : 2진의 입력과 출력변수사이의 가능한 조합을 표로 표현한 것진리표의 진리 : Yes(참) = 1 / No(거짓) = 0 을 논리표현에 사용한 것에서 유래★ 논리
    리포트 | 7페이지 | 1,000원 | 등록일 2020.11.02
  • 논리회로설계실험 7주차 Flip flop 설계
    1) Objective of the Experiment(실험 목적)이번 실험에서는 두 가지 모델링 방식인 Behavioral modeling과 Structural modeling 방법으로 JK flip flop과 T flip flop을 구현하는 실습을 진행하였다. 강의..
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대