• 통큰쿠폰이벤트-통합
  • 통합검색(22,163)
  • 리포트(19,664)
  • 시험자료(941)
  • 방송통신대(886)
  • 자기소개서(592)
  • 논문(52)
  • 서식(19)
  • ppt테마(4)
  • 노하우(3)
  • 이력서(2)

"논리실험 결과" 검색결과 121-140 / 22,163건

  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서7
    LED 4개5. 330Ω, 19kΩ 저항 2개pin map, IC gate, Truth Table etc.74HC0074HC0374LS894) 실험과정 및 예상 결과실험 1. 2-bit ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... Input을 바꿔가며 Output값을 확인한다.예상 결과: 회로도를 보면 두 개의 R-S F/F을 이용하여 2 BIT RAM의 기본 동작을 알아봄을 알 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 논리회로실험 A+결과보고서 2 Electrical feature of CMOS semiconductor
    실험 과정 및 결과 실험 1) Inverter의 입출력 특성 확인Inverter(74HC04)를 이용하여 회로를 구성하고 에 를 입력하였다. ... 나왔는데 XY모드로 바꾸는 도중 구성한 회로를 건드려서 결과 화면에 살짝 노이즈가 있다. ... 실험 2) Schmitt-trigger의 입출력 특성 확인두 번째 실험은 첫 번째 실험과 회로 구성과 설정은 동일하게 하고, Inverter(74HC04)를 Schmitt trigger
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.09 | 수정일 2020.10.13
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    디지털논리회로실험결과 보고서[5주차]실험 5. Arithmetic comparator, Adder and ALU1. ... [표 2]에 실험 결과를 정리하였으며 이는 [그림 5]의 시뮬레이션 결과와 일치함을 알 수 있다. ... X=011일 때의 실험 결과는 [표 3]과 같다.5.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, 7segment 실험결과보고서
    실험 목적Decoder를 이해하고 7segment decoder 회로를 설계할 수 있다.Chapter 2. ... 대부분의 논리회로는 2개 값의 신호를 받아들이기 때문에, 10진수는 2진수의 항들로 표현되어야 한다.ü Decoder - 2진수 입력값을 10진수 값으로 변환하여 출력한다.- 입력값 ... 아래 행들도 마찬가지로 비교해보면 a와 b의 십진수의 값이 d 옆의 숫자와 같은 10진수로 표현될 때, 1이 됨을 알 수 있다.- and게이트와 not 게이트를 이용하여 논리회로를
    리포트 | 10페이지 | 2,500원 | 등록일 2023.02.28
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Flip-Flops, Latch 실험결과보고서
    실험 목적Flip-Flop과 latch에 대해 이해하고, 이 동작원리를 회로 설계를 통해 확인할 수 있다.Chapter 2. ... 따른 출력 Q와 Q’이 있다.- S=1, R=0일 때 Q만 1이 출력되는 set, S=0, R=1일 때 Q’만 1이 출력되는 reset이 있다.- S=R=0일 경우 nor게이트의 결과 ... 관련 이론ü Combinational logic circuit vs Sequential logic circuit- 조합논리회로: 메모리가 없어도 현재 입력만으로도 출력이 결정된다.-
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • [알기쉬운 기초 전기 전자 실험 (문운당)] 12. 발광 소자의 특성 및 논리회로 결과보고서 (A+)
    2. 카르노 맵과 관계식§ 진리표에 따라 7 세그먼트에 입력되어질 단자들에 대한 카르노 맵을 각각 그려보았습니다. 처음에는 주어진 데모만으로 관계식을 나타내기엔 너무 복잡하여, 입력변수들의 조합에 따라 함수값이 발생하지 않는 Don’t care의 개념을 적용하여, 검은..
    리포트 | 3페이지 | 3,000원 | 등록일 2023.12.31
  • 충북대학교 전자공학부 기초회로실험 논리 게이트 및 부울 함수의 구현 결과 보고서
    실험 결과(1) SN7408로 회로를 결선하고, 1) B=0, 2) B=1, 3) B=Open 상태에 대하여 진리표를 작성하라.ABY000.1145V010.1440V100.1443V114.6008V ... 논리 게이트를 사용하는 것은 쉬우나 부울대수가 복잡해지고 길어지면 사용하는데 신중한 주의가 필요 할 것 같다. ... 그리하여 각각의 회로에 1과 0의 값을 주는 실험을 하였는데 실험시 1에 해당하는 전압은 5V로 측정하였다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.07.26 | 수정일 2020.09.15
  • 서강대학교 21년도 디지털논리회로실험 6주차 결과레포트 (A+자료) - Flip-flop, Registers
    디지털논리회로실험 6주차 실험 보고서목적- Flip-flop의 종류를 파악하고 각각의 동작원리를 이해한다. ... 또한 어떠한 경우에도 CLR가 1이라면 출력은 0이 된다.그림15-1위의 logic table은 FJKC의 datasheet에서 가져온 것인데, 위의 실험 결과와 동일한 것을 알 수 ... 여러 bit의 정보를 임시로 저장하는 역할을 수행한다. register는 컴퓨터에서 서로 연관된 데이터 집합의 표현에 사용되고, 연관되지 않은 데이터를 bit별로 저장하기도 한다.실험결과STEP
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 때 I0~I2는 myDAQ의 DIO0~DIO2가 연결될 것이고, 이들은 Cmod S6의 46~48핀에 할당되게끔 했다.A~G, DP는 1번~8번 핀에 할당했다.STEP 17:구현 결과를 ... 14:먼저 각 LED A~G에 대해서 카르노 맵을 작성한다.그림35 – 각 출력의 카르노 맵STEP 15:빨간색 박스로 products를 결정하고 sum해서 SOP형식으로 최적화한 결과는A
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 7주차 결과레포트 (A+자료) - Counter, State Machine, State Diagram
    디지털논리회로실험 7주차 실험 보고서목적- Counter의 구조와 동작 원리를 이해한다. ... output에 대한 equation도 작성한다.작성된 equation을 combinational circuit을 구현하듯, 회로에 구현하기만 하면 state machine이 완성된다.실험결과STEP ... CountersCounter는 clock에 의해 cycle을 반복하는 순차 논리회로이다.
    리포트 | 28페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    디지털논리회로실험 9주차 실험 보고서목적- 메모리 소자들(ROM, RAM)의 동작 원리와 활용 방법을 이해한다.- Address decoding의 개념과 구현 방법을 이해한다.- ROM을 ... 이러한 과정을 빠르게 반복한다면, 시각의 잔상효과에 의해 LED들이 계속 켜진 것처럼 보이게 되는 것이다.실험결과STEP 1:그림1-1 4x4KeyScan의 block diagram그림1 ... 조사를 해보니, 실험에 사용되는 dotmatrix 소자에 대한 data는 찾지 못했지만, 다른 dotmatrix 소자를 아두이노 등으로 동작시킬 때, delay를 1ms정도로 두어서
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    디지털논리회로실험 4주차 실험 보고서목적-Multiplexer의 동작원리와 활용방법을 이해한다.-Three-state 소자의 동작원리와 활용방법을 이해한다. ... 이는 lab2 실험의 step6 실험에서 보았듯이, 아무것도 연결되지 않은 상태의 출력과 같은 모습이다.다음에는 DIO1을 low로 두고 DIO0를 변경해보았다.그림2-3 DIO0= ... 이 때 n개의 입력이 존재한다면 selector 신호는 [log2n]개가 필요하다. selector의 논리적 조합에 따라 n개의 입력 중 출력과 연결할 입력신호를 선택할 수 있다.
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 5주차 결과레포트 (A+자료) - Half-Adder, Full-Adder, 2's complement
    이전 4bit의 비교결과가 다음 bit에도 전달되어서 비교를 하는 것이다. 74x85의 경우 회로 연결을 위한 신호들 사이의 관계는AGTBOUT = (A>B) + (A=B)*AGTBINAEQBOUT
    리포트 | 29페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다. ... input으로 입low로, 한 후, 해당 digit의 A~DP 신호를 선택하여 입력시켜주면 된다. clock의 주기가 매우 짧다면 시각의 잔상효과에 의해 계속 켜진 것처럼 보이게 될 것이다.실험결과STEP ... 그리고 곱셈의 결과는 5bit에서 8bit까지 4번의 동작으로 결정된다.위의 그림 (a)에서 빨간색 박스를 HP라고 하고, 처음에는 multiplier를 저장하다가 곱셈결과의 아래쪽
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 논리회로실험 결과 6
    실험 결과이번 실험은 여태 까지의 실험했던 조합(Combination)논리 회로와 다르게, 현재의 출력이 과거의 입력에 의해서도 변하는 순서(Sequence)논리회로를 실험하였다.실험에선 ... 실험 고찰이번 실험에선 순차(Sequence)논리 회로인 래치와 플립플롭을 확인하였다. ... 실험 결과를 확인하여도 C=0인 상태에서 출력이 변하지 않는 것을 확인할 수 있었다.② D Latch (Basic gate 구현)D 구조는 S-R에서 R입력에 Not연산 해준 S를
    리포트 | 10페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.10.12
  • 논리회로실험 결과 7
    support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과과목명: 논리회로실험교수명 ... 실험 결과이번 실험은 플립플롭의 실용적 예인 시프트 레지스터(Shift Register)를 실험했다. ... 실험을 진행하면서 초기에 입력한 데이터가 이동했으며, 파형 발생기를 이용해 실제 클럭을 인가해줌으로써 정확한 실험 결과를 얻을 수 있었으며 실험6에서 클럭에 의해 불완전했던 실험
    리포트 | 12페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • 논리회로실험 결과 8
    이론을 통해 구한 상태도가 실제로 정확히 카운팅 되는지를 확인하는 것이 실험의 목적이었으며, 실험결과를 보듯 완벽히 일치하는 결과를 얻을 수 있었다. ... 실험 결과이번 실험은 카운터를 구성하였으며 J-K F/F을 이용하였다. J-K F/F의 입출력 특성 중 J=K=1일 때 이전 값이 toggle된 결과가 나오는 사실을 이용하였다. ... support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과과목명: 논리회로실험교수명
    리포트 | 11페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.11.07
  • 논리회로실험 결과1
    단순히 0과 1이 아니라 이를 조합해 엔지니어가 원하는 논리대로 시스템을 구현할 수 있는 첫 걸음이 Basic Gate인 것이다.값의 출력과 진리표의 확인에 있어서 실험1의 중간결과값인 ... support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과과목명: 논리회로실험교수명 ... 실험은 각 Gate의 기본적인 출력을 얻는 것이기 때문에 예상 결과와 차이는 없었지만, 실험 중 최종 결과값인 L2만 구하는 데에 집중하여 중간 연산결과인 L1은 구하지 못했다.실험
    리포트 | 6페이지 | 2,000원 | 등록일 2016.09.04 | 수정일 2019.09.26
  • 논리회로실험 결과 3
    실험결과이번 실험은 기본적인 Gate의 조합논리회로인 가산기와 감산기를 실험하였다. ... 결과 고찰3주차 실험은 기본적인 Gate의 조합논리회로 중 가장 기초가 되는 가산기와 감산기의 동작을 확인했다. 1bit의 반가산기와 반감산기를 구성했고, 이를 확장하여 2개 사용함으로써 ... 각 출력은 LED의 발광상태를 보고 확인할 수 있었으며, 이를 진리표와 비교하여 실험의 정상 유무를 확인하였다.① 반가산기반가산기는 1bit의 2개 오퍼랜드의 합을 논리게이트로 구성하였다
    리포트 | 7페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.09.23
  • 논리회로실험 결과 10
    실험 고찰이번 실험논리회로실험의 마지막 실험으로서 DAC와 ADC를 동작시켜보았다. ... 실험 결과이번 실험은 마지막 실험으로 DAC와 ADC를 공부하였다. ... 아래는 실제 실험결과이다.가변저항을 미세하게 조절하며 Vref를 조금씩 올려주었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2019.11.22
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:29 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대