• 통큰쿠폰이벤트-통합
  • 통합검색(14,271)
  • 리포트(12,997)
  • 시험자료(800)
  • 방송통신대(227)
  • 자기소개서(120)
  • 논문(79)
  • 서식(29)
  • ppt테마(8)
  • 노하우(8)
  • 이력서(2)
  • 기업보고서(1)

"8비트" 검색결과 41-60 / 14,271건

  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic
    리포트 | 1,000원 | 등록일 2017.05.23
  • 논리회로설계실험 프로젝트 8Bit 계산기
    설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로를 설계한다.8bit 덧셈기를 구현하고 결과를 7 segment로 출력하는 회로를 설계하는 ... 입력하는 숫자는 99를 넘지 않기 때문에 입력변수는 8비트의 2진수 2개를 가지고 출력변수는 한자리수 당 4비트, 백의자리까지 출력값이 나오므로 총 12비트 변수 1개를 가진다. ... 토의이번 설계의 목표는 8비트 BCD 덧셈기를 설계하고, 그 결과를 7 segment로 출력하는 회로를 설계하는 것이었다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • [verilog]8비트 가감산기 설계
    U0(x,A,clk);reg_8bit U1(y,B,clk);reg_8bit U2(sum,S,clk);d_ff U3(overf,OverFlow,clk);FA8 U4(A, mod_B, ... ;input mode, clk;output [7:0] S;output cout, OverFlow;wire overf;wire[7:0] x,y,mod_B, A ,B ,sum;reg_8bit
    리포트 | 5페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • TTL IC를 이용한 8비트 논리 연산 회로
    논리연산으로 8비트의 회로를 구현하기 위해서는 네 개의 연산 AND, OR, XOR, NOT과 하나의 멀티플렉서를 8개 반복하고 선택변수(S0, S1)는 모든 단을 동시에 선택하게 ... 선택변수가 모든 단을 동시에 선택하게하고 다음 그림과 같은 회로를 n개 반복하면 된다.예를 들어 8비트의 회로를 구현하기 위해서는 다음과 같은 회로를 8번 반복하면 된다.S0S1OutputOperation00E ... 2007學年度 第1學컴퓨터 시스템 구조TTL IC를 이용한 8비트 논리 연산 회로논리 마이크로 연산☞ 대부분의 컴퓨터에서는 16개의 논리 마이크로 연산 중 에서 단지 네 개의 연산-
    리포트 | 11페이지 | 2,500원 | 등록일 2014.01.29 | 수정일 2015.12.21
  • d 플립플랍 8비트레지스터
    D-flip flops D_FF Library IEEE; use IEEE.std_logic_1164.all; entity d_ff is port( D, CLK : in std_logic; Q, Qbar : out std_logic ); end d_ff; architec..
    리포트 | 5페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 8bit 고속연산 ALU
    8bit 고속연산 ALU- 보고서
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.25
  • [Ayeun] 마이크로프로세서응용 6주차 예비보고서 마프(ATmega128의 8Bit Timer&Counter)
    PWM + 16비트 PWM)신호변조기 기능 : 변조기기능 ≫ 8비트 PWM 신호와 16비트 PWM 신호를 AND또는OR 논리연산으로 혼합하는 기능#타이머/카운터 0,2 특징(8Bit ... 16비트의 카운터)- 내부 Clock에서 타이머로 동작하고, 외부 Pin의 카운터 신호를 이용, 카운터로 동작함- 타이머0,2 : 8bit 타이머이고 오버플로우나 PWM과 유사한 ... 마이크로프로세서응용 예비보고서제목6주차 예비보고서학과전자공학과학번성명제출일2018. 11. 07확인ATmega128의 8Bit Timer&Counter에 대한 이해1.
    리포트 | 16페이지 | 1,000원 | 등록일 2018.12.22
  • 8 비트 동기식 카운터
    동기식 카운터2개의 74163 TTL을 사용하여 8비트 동기식 카운터를 구성하고 동작을 확인하라.실험장비 및 재료실험장비전원공급기오실로스코프브레드 보드로직 프로브소자4 비트 카운터 ... 실험9 8 비트 동기식 카운터목적1) 동기식 카운터의 동작원리를 이해한다.2) 동기식 리셋 동작 원리를 이해한다.3) 브레드보드와 TTL을 사용하여 기본회로를 구성하고 동작을 측정한다 ... 제어신호 MC, CP, CEP, CET, PE, 그리고 Dn에 1또는 0입력을 인가하는 방법으로 출력값 Qn과 TC를 로직 프로브 하여라.2) 2개의 74163 TTL을 사용하여 8비트
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.23
  • AVR 마이크로프로세서 설계 8비트 타이머/카운터 각종모드 예제소스
    #include #include #include unsigned char led = 0x01, cnt;ISR(TIMER0_COMP_vect){cnt++;if(cnt == 30){led
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.24 | 수정일 2017.04.21
  • [디지털회로실험] (실험9) 8비트 동기식 카운터
    시뮬레이션 결과실험9-(2) 74163 TTL 2개를 사용한 8비트 동기식 카운터? 회로도? 시뮬레이션 결과
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 피스파이스를 이용한 8bit 가산기 설계(vlsi 텀프로젝트)
    VLSI 설계과제8비트 가산기 설계8Bit-Adder Design2014년 12월 11일INDEX1. 서 론1.1. 설계 목표1.2. 설계 과제 선정1.3. ... 설계 목표- MOSFET을 이용해 8비트 가산기를 설계할 수 있다.- MOSFET을 이용한 8비트 가산기의 원리를 이해하고 설명할 수 있다.- 주파수 변화에 따른 8비트 가산기 회로의 ... 전가산기 및 8비트 가산기3. 설계 과정(Netlist)3.1. 반가산기 설계3.2. 전가산기 설계3.3. 8비트 전가산기 설계4. 시뮬레이션 결과4.1.
    리포트 | 30페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • VHDL로 구현한 8bit Full Adder
    VHDL실습 2주차 Report-18bit full Adder담당교수 :담당조교 :8bit Full Adder1-2 1.진리표(상태표), 논리식, 필요한 그래프, 표 등 2.그에대한설명8bit ... 8bit adder8bit adder는 full adder 7개와 마지막 adder하나는 half adder를 이용하여도 무방하다. ... S는 합을 나타내며은 발생하는 캐리를 나타낸다. 3비트의 합을 계산하여 앞의 bit는으로 나타내며, 뒤의bit는 S로 나타낸다.입력출력ABS0000000101010010111010001101101101011111
    리포트 | 7페이지 | 2,000원 | 등록일 2010.12.27
  • 4비트 ALU 설계 및 검증 (8개 인스트럭션)
    리포트 | 3,000원 | 등록일 2014.12.01
  • 8BIT MCU REPORT
    그 중에서 80계열에서 가장 기본으로 하는 8비트(bit) 마이크로컨트롤러 중의 한 계열이 8051입니다.★ AVRAVR은 Atmel사에서 만든 RISC 타입의 고속 8비트 마이크로컨트롤러이다 ... 이러한 칩은 4bit, 8bit, 16bit, 32bit형태로써 데이터를 처리하는 속도를 가지고 있으며 수많은 가전제품의 자동제어장치 시스템이나, 도난 방지시스템, 자동차ABS시스템 ... 시리얼 통신이란 8비트 데이터를 1과 0의 디지털 정보로 한번에 1비트씩 순차적으로 일정 시간 간격으로 보내고 받는 측에서 그것을 원래의 8비트 데이터로 복원하는 방식이다. 8비트
    리포트 | 6페이지 | 1,500원 | 등록일 2008.03.23
  • [컴퓨터구조] 2개의 칩을 사용한 8비트 ALU 설계
    실습보고서제목 : 2개의 칩을 사용한 8비트 ALU 설계1. 제목 : 2개의 74181 칩을 이용한 8비트 ALU 설계2. ... 연산을 수행한 결과값의 비트 수는 8비트(out0~out7)인데 이 8비트를 하나의 버스로 묶어서 나타내었다. ... A가 0010, B=0011일 때의 파형은 아래와 같다.나. 8비트 ALU 설계도Orcad로 8비트 ALU를 설계하면 아래 회로도와 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2013.12.06
  • [디지털회로실험] (실험7) 래치, 플립플롭, 시프트 레지스터 ,(실험8) 8비트 가산기
    시뮬레이션 결과실험8-(3) 2개의 74283 TTL을 이용한 8비트 가산기 동작 확인? 회로도? 시뮬레이션 결과 ... 시뮬레이션 결과실험7-(4) 4비트 시프트 레지스터? 회로도? 시뮬레이션 결과실험8-(1) 4비트 가산기 74283 TTL의 동작 확인? 회로도?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [Ayeun] 마이크로프로세서응용 8주차 결과보고서 마프(ATmega128,16bit,주기듀티비제어과제코드)
    16비트 레지스터는 간접접근 레지스터(TEMP)를 통해 카운터 모드에서 동시(하위바이트 읽기 또는 쓰기 시점)에 16비트 읽기 및 쓰기 동작 수행? ... 마이크로프로세서응용 결과보고서제목8주차 결과보고서학과전자공학과학번성명제출일2018. 11. 28확인1. 16Bit Timer/Counter&PWM 관련 이론(PWM이란? ... 0~1024 프리스케일러 (0, 1, 8, 64, 256, 1024 하강에지, 상승에지)16bit 타이머/카운터 1과3? 타이머1과3의 클럭? 메인 and 카운터?
    리포트 | 16페이지 | 1,000원 | 등록일 2018.12.22
  • 8비트 가감산기
    @ 8bit 가산기에서 A 와 B의 합이 256 이상이 나올 경우COUT의 값이 1로 바뀐다.감산 시뮬레이션 (M = 1)분석A000101000001010000010100B000000000000100100010100B ... 큰 값이 나올때COUT의 값이 1로 바뀐다.3.8비트 가감산기 설계1)설계도2)가산 시뮬레이션 (M = 0)분석A111111101111111011111110B000000010000001000000011COUT011SUM111111110000000000000001 ... 전가산기 설계1)설계도2)시뮬레이션3)분석InputOutputABCSUMCO0*************101110100011011011010111112. 4비트회로 설계1)설계도2)시뮬레이션3
    리포트 | 4페이지 | 1,000원 | 등록일 2007.06.21
  • 8-Bit Arithmetic Logic Unit Design
    Two input buses are 8-bit wide and carry operands for an ALU operation. ... In ADDER, it also shows eight 1-bit full adders (named One-Bit_FA).
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대