• 통큰쿠폰이벤트-통합
  • 통합검색(14,271)
  • 리포트(12,997)
  • 시험자료(800)
  • 방송통신대(227)
  • 자기소개서(120)
  • 논문(79)
  • 서식(29)
  • ppt테마(8)
  • 노하우(8)
  • 이력서(2)
  • 기업보고서(1)

"8비트" 검색결과 21-40 / 14,271건

  • CarryLookaheadAdder 8bit
    리포트 | 2,000원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • 베릴로그 8비트 가감산기
    전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... 예를 들어 -27을 입력하고자 할 때 B=-8’b00011011가 아니라 ; B=8'b11100101과 같이 입력하는 것이 핵심.sign bit이 0이면 평범한 2진수 양수 ... A7,B7은 값에 영향을 주지 않고 단지 부호만 결정하는 sign bit임.
    리포트 | 17페이지 | 1,000원 | 등록일 2018.09.09
  • 타이머 카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주 시켜 Duty 비가 35퍼센트인 구형파를 만들어서 OC1B핀으로 정상출력하고자 한다. 필요한레지스터 값을 설정하시오.
    본장에서는 타이머 카운터에 대한 학습을 통하여 타이머/카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주시켜 Duty 비가 35%인 구형파를 만들어서
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.16 | 수정일 2021.06.24
  • [마이크로프로세서] 타이머 카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고 내부 클럭을 8분주시켜 Duty 비가 35인 구형파를 만들어서 OC1B 핀으로 정상 출력하고자 한다 필요한 레지스터 값을 설정하시오
    필요한 레지스터 값을 설정하시오.10비트 분해능의 Fast PWM 모드 설정WGM13=0, WGM12 = 1, WGM11 = 1, WGM10 = 1 (10bit Fast PWM)OC1B ... 마이크로 프로세서□ 타이머/카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주시켜 Duty 비가 35%인 구형파를 만들어서 OC1B 핀으로 정상 출력하고자 ... 필요한 레지스터 값을 설정하시오.□ 타이머/카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주시켜 Duty 비가 35%인 구형파를 만들어서 OC1B
    리포트 | 3페이지 | 10,000원 | 등록일 2021.05.06
  • Select Adder 8bit
    리포트 | 2,000원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • 8BIt Select Adder
    8Bit Select AdderFull_adder 소스그림Mux 소스 그림Select Adder 소스 그림8Bit Select Adder 소스 그림Test Bench 소스 그림8Bit ... Select Adder 설계를 위한 소스를 모델심으로 설계하였다.그리고 Test Bench 파일에 임의로 8Bit 숫자의 A,B 각 3개씩 정해주었다.00110101 10001101
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • 인천대학교 8비트 컴퓨터
    인천대학교 컴퓨터공학과 컴퓨터구조 기말과제 입니다.어렵기로 악명 높은 과제 입니다.과제 점수 전부 만점 받았고 안에 참고하시라고 저희가쓴 레포트 다 넣어놨고,만든 것 까지 다있습니다1달 내내 만들었습니다..ㅎ 하루도 쉬지 않고..잘써주세요
    리포트 | 13,000원 | 등록일 2017.09.15 | 수정일 2022.02.27
  • 8_bit_Adder
    Bit Adder● Register: For saving a first 8-bit number and carry in.● Adder: To add two 8-bit numbers.● ... We need long period cycle clock to setup 8-bit number thus we cannot use a clock generator. ... small design project.ChangesThere were no changes to the written lab procedure.Experimental Procedure8-
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.11
  • 8Bit Carry Look Ahead Adder
    endmodule2.module s(a, b, c, s); input a, b, c; output s; assign s=a^b^c;endmodule3.module cla4bit
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • 8bit Gray Counter
    연속 카운터 값사이에 1비트의 값만 변화(토글)시키면서 상태값을 증가(감소)시키는 Gray 계수기를 System Verilog를 이용하여 설계파일을 구현한다.설계파일의 기능외에도 테스트 ... ,logic)변수 중 시뮬레이션 시간을 최소화하는데 이용되는 bit 형 변수를 이용하여 입력 포트 자료형을 이용한다. ... 수행할 수 있도록 초기화 블록도 포함시키고이의 기능을 동작할 수 있도록 시스템 베릴로그의 데이터 자료형 중 네트형 변수와 레지스터형 변수의 기능을 함께 수행할 수 있는 데이터 자료형(bit
    리포트 | 2,500원 | 등록일 2013.02.04
  • 8bit-adder
    8Bit-adder 설계x=x8 x7 x6 x5 x4 x3 x2 x1 이고,y=y8 y7 y6 y5 y4 y3 y2 y1 이며,c7 c6 c5 c4 c3 c2 c1x8 x7 x6 x5 ... x4 x3 x2 x1+y8 y7 y6 y5 y4 y3 y2 y1ㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡc8 s8 s7 s6 s5 s4 s3 s2 s1 라할 때,x1y1에 대한 진리표x1y1s1c ... x2 xor y2)'+c1'(x2 xor y2)s2=x2 xor y2 xor c1c2 간략화and 게이트3개와 or 게이트1개 이므로c2=x2y2+y2c1+c1x2x3y3c2부터 x8y8c7까지는
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.01
  • ATmega128의 8bit 타이머0를 이용해 1초를 만드는 방법
    여러가지 타이머가 있는데 그 중에서 Timer0가 가장 일반적으로 쓰이며, 8bit이므로, 그냥 편하다. ... 말한 X라는 변수가 8bit 변수라는 얘기이구, unsigned변수이기 때문에 0~255까지 저장이 가능하단 얘기다. ... 이것도 모르고 그대로 ATmega8에다 썼다가 망했었다.우선 8bit가 무슨 의미인가 그런거는 나중에 알아보고, 구동 방식 부터 살펴보면,Timer0가 실행될 때 마다 몇 번 실행
    리포트 | 4페이지 | 2,000원 | 등록일 2019.07.29
  • 8비트패리티채커
    회로 동작(1) 전원은 건전지 6[V]를 사용하므로 정류 다이오드를 넣어 전압강하시킨 다음 5.4[V]를 IC에 공급한다.(2) 이 회로는 8개의 스위치(Sw1 - Sw8)중 순서 ... LED가 점등, “0”이면 차단되므로 LED가 소등된다.(4) 패리티 체크(Parity Check)① 디지털 회로에서 2진 부호를 나타낼 때 전송로 등에서 발생하는 잡음 등으로 1비트
    리포트 | 1페이지 | 1,000원 | 등록일 2013.11.29 | 수정일 2016.10.06
  • 전자계산기 구조 (1.f(a, b, c) m(2, 4, 6, 7)의 진리표를 작성하고, A, B 그리고 B, C를 각각 선택선으로 했을 때, 4 x 1 멀티플렉서(Multiplexer) 블록도를 설계하여 도시하시오.2.4K ROM 1개와 1K RAM 사용하여 8비트 마이크로컴퓨터를 설계하여 그림을 그리고 반드시 각각 Ram 칩 번호를 다르게 설정하고,)
    참고문헌1) 김창완 외 2명, 2021-09-30, 「컴퓨터구조」 복두 출판사, 2020 출판.2) 허준, 2021-09-30, diagrams.net, (Mux, 8비트 마이크로컴퓨터 ... 선택선으로 했을 때 4 x 1 멀티 플렉서 블록도1) 선택선 A, B2) 선택선 B, C2. 2번 문제(5주차 2차시 - 메모리 모듈, 134p)4K ROM 1개와 1K RAM 사용하여 8비트 ... 그림, 논리회로 직접 작성).3) 컴공좋아, 2021-09-30, 〈8비트 컴퓨터 그리기 질문〉, https://devsnote.com/assets/data/ck_upload/_upload
    리포트 | 9페이지 | 10,000원 | 등록일 2021.10.18
  • 8비트가산기
    실험목적지난 실험의 가산기에 기초하여 4비트 가산기를 구성하여 보고 이해한다. 그리고 4비트 가산기를 사용하여 8 비트 가산기 회로를 구성하여 보고 동작을 확인한다. 2. ... 그림 4-bit ripple carry adder made up of four 1-bit full adders이러한 반가산기 한 개로 이 전가산기 몇 개로 조합하는 과정에 따라 임의의 ... 아래 그림은 4비트 가산기의 회로도이다.4 비트 가산기는 4 비트의 2진수 두 개 와 의 덧셈과 Carry in인 을 입력으로 받아서, 4 비트의 2진수 와 Carry out 인 을
    리포트 | 2페이지 | 1,500원 | 등록일 2010.06.18
  • [HDL-Verilog] D F/F, 8bit register, 8bit shift register
    결과값▶D-Flip Flop▶8-bit register▶8-bit shift register5. ... [LAB 2, 3, 4] 실습 레포트(D-Flip Flop, 8-bit register, 8-bit shift register)1. ... Truth Table & Symbol▶D-Flip Flop▶8-bit register▶8-bit shift register3.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.06.05
  • VHDL 8비트 CPU설계
    연구제목8비트 CUP 설계2. 목적지금까지 배운 것을 기반으로 하여 8비트 CUP설계를 하여 구현을 해본다.3. ... CPU의 내부 또는 외부와 데이터나 제어신호 등을 주고받을 수 있는 통로를 버스(bus)라고 하는데 동시에 옮겨 갈 수 있는 비트 수에 따라 8bit, 16bit, 32bit, 64bit ... 일반적으로 말하는 펜티엄 컴퓨터는 내부 버스의 크기가 64bit인 컴퓨터이다.1-1) CPU의 동작원리호출기능, 해독기능, 제어기능, 연산기능 예) '2 + 3'을 처리하는 경우1.
    리포트 | 29페이지 | 10,000원 | 등록일 2012.12.18 | 수정일 2019.05.30
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    ’s truth table shown :2 ^{(2+2)} `=`16 rows-8-bit adder :2 ^{(8+8)} `=`65,536 rows-16-bit adder :2 ^{ ... *Full Adder module*Full Adder 8bit moduleFull-Adder module을 8개 붙여놓은 module이다.(4) SimulationA에 3을 인가하고 ... 115 board and test it by using different switch settings.(3) Code변수를 선언하고 A,B, 그리고 cin을 sw[16]으로 하여 8bit
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 8비트 시프트 레지스터
    실험10 8비트 시프트 레지스터목적1) 시프트 레지스터의 동작 원리를 이해한다.2) 브레드보드와 ttl을 사용하여 기본 회로를 구성하고 동작을 측정한다.3) 전원공급기, 오실로스코프 ... 0을 입력을 인가하는 방법으로 모든 입력을 인가하고, 각 입력조합에 따른 출력값 Q7을 로직 프로브로 확인하라.실험장비 및 재료실험장비전원공급기오실로스코프브레드 보드로직 프로브소자8비트 ... 맨 오른쪽("데이터 출력")에 있는 비트는 이동해서 출력되고 손실된다.데이터는 'Q' 출력의 각각 플립플롭에 저장되어 이 배열에서, 4개의 단계 "슬롯"이 가능하며, 그러므로 4 비트
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.23
  • 8비트_시프트_레지스터
    이것은 병렬 비트의 그룹으로 동작하는 많은 회로에 유용하지만, 직렬 인터페이스는 구성을 간단하게 한다. 시프트 레지스터는 간단한 지연 회로처럼 사용될 수 있다.
    리포트 | 2페이지 | 1,500원 | 등록일 2010.06.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:47 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대