• 통큰쿠폰이벤트-통합
  • 통합검색(148)
  • 리포트(138)
  • 자기소개서(7)
  • 시험자료(3)

"논리회로 텀" 검색결과 41-60 / 148건

  • (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판기
    회로도 설명 / 시뮬레이션 및 실험결과 분석5. 실험사진, 동영상6. ... OC2를 하나만 선택사용하면 단순히 하나의 출력 비교 신호를 출력하지만, 이 두가지 신호를 모두 설정하여 사용하는 경우 PB7의 비트 값에 따라 OC1C와 OC2의 출력 신호를 논리적으로 ... 통해 구현할 수 있는데, 여기에 제작에 필요한 센서를 select하고, 같이 활용해보면서 실력 증진의 목적과 재밌는 제작물을 만들어보기 위해 운세 자판기를 선택하게 되었다.이번 프로젝트의
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    래더다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.(3) PLC의 작동원리PLC는 입출력장치 및 프로그램으로 기계나 프로세서 작업을 제어하는 장치가 ... 논리회로의 형태와 같은 구조를 기술하는데 필요한 수학적 이론으로 변수들의 입출력관게를 대수적으로 쉽게 표현할 수 있다. 2진 변수란 참과 거짓의 두 값만을 가지는 변수이다.이름기호예위치ANDBULLET ... 즉, 푸시 버튼, 나이프 스위치, 버휠 스위치, 셀렉터 스위치, 아날로그 센서들과 같이 센서로부터 전달받는 신호들은 입력 인터페이스에 있는 터미널로 연결된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • 9. PLC 및 모터제어 실험
    래더 다이어그램은 논리 AND연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.래더 다이어그램의 표현은 표 10.2와 같다.표 10.2(3) PLC의 작동원리PLC는 입출력장치 ... 논리회로의 형태와 같은 구조를 기술하는데 필요한 수학적 이론으로 변수들의 입출력 관계를 대수적으로 쉽게 표현할 수 있다. 2진 변수란 참(T,ON)과 거짓(F,OFF)의 두 값만을 ... 즉, 푸시 버튼, 나이프 스위치, 버휠 스위치, 셀렉터 스위치, 아날로그 센서들과 같이 센서로부터 전달받은 신호들은 입력 인터페이스에 있는 터미널로 연결된다.
    리포트 | 13페이지 | 1,000원 | 등록일 2019.09.15
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    디지털논리회로실험결과 보고서[5주차]실험 5. Arithmetic comparator, Adder and ALU1. ... 남은 실험과 프로젝트에 대비하여 VHDL에 대해 충분히 숙 지할 필요가 있을 것 같다. ... [그림 7][그림 8]실제 회로를 구현하는 것이 매우 복잡하므로 Xilinx schematic으로 회로를 그린 후 FPGA로 구현 하였다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한전KPS 자기소개서/면접자료(진행방식+족보)[최신자료]
    이론으로만 배웠던 논리소자들을 활용하여야 하는 이었기 때문에 이 소자들을 실제로 어떻게 써야 할지 아이디어를 도출하기가 어려웠습니다. ... 이를 해결하고자 팀장이었던 저는 따로 작업을 진행할 시, 다음 주자에게 인수인계를 제대로 하기 위해 실험 테스트 영상, 회로에 대한 설명 등이 포함된 작업일지를 작성하자고 제의했습니다 ... (500자)학부, 2학년 1학기 전공수업의 프로젝트를 성공적으로 수행하여 A+라는 좋은 점수를 받았습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2021.03.24
  • 롯데칠성음료 생산관리직 합격자소서
    회로이론과 논리회로 이론을 학습하였고 OrCAD와 Pspice 시뮬레이션을 통해 회로설계와 제어를 경험하였습니다. ... 또한 프로젝트를 통해 발전부, 배전부, 출력부의 동작을 확인하며 설비의 제작 과정을 경험하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.12.12
  • 논리회로실험 결과보고서 디코더 엔코더
    논리회로설계 실험 결과보고서 #4실험 4. 디코더 & 엔코더1. ... dw가 나올 수 있는 모든 경우의 수인 16가지를 모두 입력하여 50ns의 으로 암호화된 값이 출력될 수 있도록 설정하였다.3) Wave Form?
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로 과제2
    논리회로 텀 프로젝트 #2* 4개의 2진 상태변수를 갖고 1개의 2진 출력변수를 갖는 디지털 시스템(순차회로)에 대한 명제를 1개 설정어느 날, 00이는 잠에서 깨어나서보니 낯선 곳에서 ... Q2XQ3XQ1Q200011110Q3XQ1Q2000111100001XX00XX000100XX01XX1011XX110010XX1000출력식Y=Q1’Q2Q3’XQ3XQ1Q20001111000000001010011001000(2.4) 회로도를
    리포트 | 9페이지 | 1,000원 | 등록일 2022.01.19 | 수정일 2022.06.03
  • 논리회로 Term Project/디지털논리회로 설계 프로젝트/7Segment활용 논리회로 설계/실생활에 활용가능한 논리회로 설계
    Digital LogicTerm project7SEGMENT를 활용한 논리회로 설계주방 모니터에 표현테이블에서 음식을 주문하면 주방모니터에서도 똑같이 나타난다.테이블에서 음식을 주문하면 ... 그다음 클럭펄스를 대신하기 위해 버튼으로 변경하였고, 그래서 손님들이 버튼을 누를때마다 음식의 숫자를 카운트 할 수 있게 하였습니다.마지막으로 주방 모니터에 회로를 연결하여 주방에서 ... 모니터의 7-세그먼트 숫자를 DeMUX를 써서 줄일 수도 있었지만 DeMUX를 써서 나타내면 다른 테이블이 주문을 하는 순간에 전에 왔던 주문이 주방모니터에서 사라지므로 우리가 디자인 회로
    리포트 | 10페이지 | 2,000원 | 등록일 2018.08.19 | 수정일 2018.08.24
  • 논리회로실험 프로젝트 도어락
    프로젝트 최종 보고서논리회로실험1. 도어락의 개요도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다.2.
    리포트 | 14페이지 | 1,000원 | 등록일 2018.11.18
  • 논리회로설계 - 전자자물쇠 구현
    Chattering 현상전자 회로 내의 스위치에 접점이 붙거나 떨어질 때 기계적인 진동에 의해 실제로는 매우 짧은 시간 안에 접점이 붙었다가 떨어지는 것을 반복하는 현상.
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 디지털발표 디지털 논리회로 및 실습Term Project.ppt
    하드웨어논리 회로 배선가위 바위 보추가기능 회로 배선 및 세그먼트5. ... 디지털 논리회로 및 실습Term Project가위, 바위, 보!목 차1. ... 프로젝트 진행 계획11월 25일 : 아이디어 구상 회의 11월 29일 : 디지털회로 설계 및 부품 선정 12월 2일 : 회로 배선 및 제작, 작동 확인 12월 3일 : 최종 점검
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.26
  • 논리 설계 및 실험, 부산대학교, 논리 설계 프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    이 프로그램은 부산대학교 논리설계및 실험 과목 프로젝트 디지털 시계 소스코드입니다.본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이 ... 포함되어있고,디지털 시계의 모든 모듈이 저장되어있습니다.분주회로, 카운터, MUX, DEMUX, 7세그먼트 디코더, 세계시간, 스톱워치, 타이머, 레지스터 등등이 모두 모듈로 저장되어있고 ... 해당 모듈은 각기 사용가능한 형태입니다.최종 프로그램 소스는 board_on.vhd로 해당 파일만 알테라에 올리면 모든 기능 정상 동작합니다.또한 프로그램 레포트에 모든 모듈의 회로도와
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • D-FF을 이용한 스마트 형광등
    채터링 현상을 방지하기 위해서는 채터링 방지 회로를 설계해야 하지만 사전 지식 부족으로 완벽한 회로구성을 하지 못함. ... 브레인스토밍 (Brain-Storming)주 제 : 실용적이고 창의적인 회로 구현 아이디어■ 7세그먼트 계수기■ 로또 번호 추첨기■ 미니 전자 오르간■ LED 점멸기■ 멜로디 초인종 ... 스마트 현관 등제 작 및 구 현■ 모델화INPUT : 사람이 현관에 들어와서 발판에 있는 동판을 밟게 됨 OUTPUT: D-Flip Flop 을 통해 입력받은 신호를 출력■ 회로
    리포트 | 9페이지 | 1,500원 | 등록일 2013.11.10
  • 디지털 회로 실험 Term Project LED 주사위(데이터시트, 회로, 완성사진 포함)
    < 중 략 >위에서 얻은 입력함수에 대한 회로를 구성하면 6진 카운터 회로가 완성된다.② LED 제어부 실제 주사위 눈금과 비슷하게 표현하기 위해 총 7개의 LED를 사용한다.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 논리회로 동작, 논리회로 종류, 논리회로 분류, 논리회로 명령, 논리회로 간소화, 논리회로와 부울대수, 논리회로와 2단논리회로, 논리회로와 조합논리회로, 논리회로와 컴퓨터논리회로
    논리회로의 간소화1. 민(minterm) : sum of product2. 맥스(maxterm) : product of sumⅥ. 논리회로와 부울대수1. ... 논리회로의 동작, 논리회로의 종류, 논리회로의 분류, 논리회로의 명령, 논리회로의 간소화, 논리회로와 부울대수, 논리회로와 2단논리회로, 논리회로와 조합논리회로, 논리회로와 컴퓨터논리회로 ... 논리회로의 종류1. 조합논리회로(Combinational logic circuit)2. 순서논리회로(Sequential logic circuit)Ⅲ. 논리회로의 분류1.
    리포트 | 18페이지 | 7,500원 | 등록일 2013.02.22
  • 논리회로 hw1
    논리회로 텀 프로젝트 #1디지털(digital)을 정의하고, 디지털의 실례를 3개 이상 들어 왜 디지털인지 그 이유를 자신이정의한 디지털에 근거하여 명확히 설명하시오.(1) 디지털
    리포트 | 2페이지 | 5,000원 | 등록일 2019.02.18 | 수정일 2023.11.18
  • 프로젝트 섯다게임
    ..PAGE:1섯다 한판*..PAGE:2목 차제작 동기실험 재료회로도(피스파이스)시스템 방식(예상결과)..PAGE:3제작 동기‘7segment로 할 수 있는 것이 뭘까?’ ... (1개), 390㏀(1개)470㏀(1개), 4.7㏁(4개)커패시터 : 0.01㎌(4개), 0.1㎌(1개), 100㎌(1개)7 Segment(4개), 9V 전지(1개)..PAGE:5회로
    리포트 | 10페이지 | 1,000원 | 등록일 2010.07.06
  • 논리설계및실험프로젝트 전자시계 제안서
    002분반(화요일)논리회로 설계 및 실험23조 Term Project 제안서 (전자시계)Dept. of Computer Science & Engineering/Pusan National ... 약Term Project 전자시계 제작에 관한 보고서로 Project의 목표와 달성 과제, 추진 일정과 방법 등을 설명해둔 문서이다.목표FPGA BOARD와 FLOWRIAN을 이용한 논리 ... 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현과제 내용시계 : 현재
    리포트 | 6페이지 | 2,000원 | 등록일 2016.11.28
  • 곱셈기(디지털회로 프로젝트)
    #회로
    리포트 | 5페이지 | 무료 | 등록일 2010.09.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대