• 통큰쿠폰이벤트-통합
  • 통합검색(4,163)
  • 리포트(3,708)
  • 자기소개서(221)
  • 시험자료(142)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지탈 논리회로" 검색결과 441-460 / 4,163건

  • 2012년 연세대학교 디지털 논리회로 테오뱅진 교수님 프로젝트
    Logic AidThe state graph above is drawn in logicAid. One D-FF means one taillight.So 6 FF needs here. I assumed that when the state reach at S7 , it c..
    리포트 | 11페이지 | 3,000원 | 등록일 2012.06.29
  • [논리회로실험] 디지탈 시계
    디지털 시계에서 구현한 기능 1) 32768Hz의 발진회로를 이용하여 digital 시계를 제작. 2) 시간, 분, AM/PM을 display. 3) 시간이 12가 될 때마다
    리포트 | 1페이지 | 3,500원 | 등록일 2005.05.25 | 수정일 2015.12.08
  • 디지털논리회로실험 예비report(2주차) - 장비사용법
    (논리회로실험 2주차 예비 Report)1. 제목 장비 사용법(파워서플라이, 논리실험장치, 멀티미터, 오실로스코프) 및 시뮬레이션 툴 사용법2. ... 전원공급장치’이다.2) 논리실험장치 : 회로논리 상태를 입력하기 위한 장치로 입력 스위치(SW : Switch), 순차적인 논리 연산이나 제어를 위한 클럭(CLK : Clock) ... 이론논리회로실험을 하기 위해서는 다음과 같은 장비들이 필요하다.1) 파워서플라이(DC Power Supply) : 회로 실험시 전압을 출력하여 회로에 전력을 공급해 주는 일반적인 ‘
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.24
  • 디지털 시스템 및 논리회로 프로젝트 보고서
    디지털 시스템 및 실습 프로젝트’목 차▣프로젝트의 목적- 2▣디지털 시계의 논리회로도 분석 및 개요- 2 ~ 4▣시계에 사용되는 재료 및 각각의 IC의 분석- 5 ~ 13▣회로의 ... 세부적인 작동 과정- 14 ~ 41▣프로젝트 진행간 수정 및 보완사항- 41▣프로젝트 후기- 42※프로젝트 관련 첨부 데이터 시트- 43 ~ 49‘디지털 시스템 논리회로 시계 프로젝트 ... 이 회로에 직류 전원을 공급하면 출력회로에 작은 잡음이 발생하며 그 일부가 입력회로에 피드백된다.
    리포트 | 49페이지 | 3,000원 | 등록일 2008.02.10
  • [디지탈] 디지탈 논리회로
    클리어 입력 : 네 플립-플롭의 R입력으로 연결되어 있으며, 이 입력이 0으로 되면 모든 플립-플롭은 비동기적으로 리셋된다- R 입력 : 클럭과 관련된 연산을 수행하는 동안에는 논리 ... 개요■ 쉬프트 레지스터2진정보를 한 방향 혹은, 양 방향으로 쉬프트할 수 있는 레지스터◆ 논리적 구조 (a)직렬로 플립-플롭을 연결한 것으로, 한 플립-플롭의 출력을
    리포트 | 18페이지 | 무료 | 등록일 2002.04.21
  • 디지털 개념과 디지털 논리회로 개념정립. 실험목적 : 브레드보드와 집적회로 IC (Integrated Circuit)의 개념과 기능을 이해하고 사용할 수 있도록 그 사용법을 익힌다. 디지털멀티미터 DMM (Digital Multi Meter) 와 전원공급기(power supply)의 일반적인 기능을 이해하고 사용할 수 있도록 그 사용법을 익힌다.
    Report for experiment실험 조 : ·학번 :이름 :실험일시 :Page 1Title디지털 개념과 디지털 논리회로 개념정립Purpose브레드보드와 집적회로 IC (Integrated ... 일반적으로 논리회로를 1칩(약 1㎠)상에 100개 정도까지 탑재(搭載)한 것을 IC라 한다디지털멀티미터(DMM)는 아날로그 멀티미터와 대비된다. ... 쓰이며 앞으로의 실험에서도 많이 사용될 회로실험기구이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2015.03.29
  • [디지털논리회로]99순차카운터
    동기식 카운터와 비동기식 카운터의 결합과 PLD를 이용한 디지털 실험을 통해 회로 구현을 수행한다.[기본이론]D 플립플롭으로 0 ∼ 9 까지 일의 자리 카운터를 설계한다. ... +BCDDB = B/C+B/D+/BCDDC = /A/CD+C/DDD = /D5 Circuit Disign{[ 10진 카운터 ]{5 Timming Diagram6 99 순차 카운터 회로
    리포트 | 4페이지 | 1,500원 | 등록일 2005.12.10
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 8장 논리함수와게이트
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 8. 논리함수와 게이트조7조제출일2016-11-17학번, 이름8-1. ... (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계한다.1. ... 1)에서 0V (논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고, 그 단계적 방법을 구체적으로 서술한다.Vcc를 5V에서
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 디지털논리회로실습 - 제 9장 대소비교 회로와 부호변환 회로
    논리실험기 (Digital Logic Lab. Unit)? 7404 (6조 Inverter)? 7408 (4조 2입력 AND Gate)? ... =A'B'C'D'+AB'CD'+A'BC'D+ABCDCDAB00011110000111010011110000100010Y=A'C+B'CD+A'B'D④ 각각의 출력변수들을 근거로 하여 논리 ... 디지털회로실험예비 보고서(제 9장 대소비교 회로와 부호변환 회로)학과학번성명1조컴퓨터공학과20040244김선습안현태안정민김성훈제 9장 대소비교 회로와 부호변환 회로1.
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.08
  • 디지털논리회로실험 예비리포트 7. 플립프롭 및 래치
    디지털논리회로 실험 자필 예비리포트7. 플립프롭 및 래치다운 받아보시면 아시겠지만모든 예비리포트가 10점 만점에 10점 또는 11점(가산 1점 포함)짜리입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.08
  • 디지털논리회로실험 예비리포트 9. 비동기식 카운터
    디지털논리회로 실험 자필 예비리포트9. 비동기식 카운터다운 받아보시면 아시겠지만모든 예비리포트가 10점 만점에 10점 또는 11점(가산 1점 포함)짜리입니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.11.08
  • [디지털논리회로] TTL
    [표.1]에 표시한다.디지털논리회로..PAGE:8TTL의 절대정격(2)디지털논리회로[표. 1] 절대정격구 분TTL의 절대정격(2)..PAGE:9TTL의 절대정격(3)LS Series의 ... 출력용[그림. 3] tpd 의 측정방법Transistor의 특성(3)디지털논리회로Transistor의 특성(3)..PAGE:14Transistor의 특성(4)디지털논리회로tw (Pulse ... H→Z, Z→H, L→Z, Z→L도 동일한 뜻으로 3 State 출력의 회로의 ON-OFF의 Speed를 나타내고 있다.디지털논리회로..PAGE:12[표. 2] tpd 그림 3의 측정방법에
    리포트 | 17페이지 | 1,000원 | 등록일 2003.05.24
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 결과보고서 8장 논리함수와 게이트
    아날로그 및 디지털 회로 설계 실습결과 보고서실습 8. 논리함수와 게이트조제출일작성자조원8-4. ... XOR입력출력입력 A입력 B출력 X000011101110출력이 High(1)일 때의 출력출력이 Low(0)일 때의 출력논리 회로의 구현위의 그림처럼 AND, OR, NOT 게이트를 ... 출력은 모두 진리표대로 정상으로 나오는 걸 확인하였다.논리 회로의 구현AND 게이트(8개 직렬)의 시간 딜레이 = 96.50nsOR 게이트(8개 직렬)의 시간 딜레이 = 89.50ns
    리포트 | 9페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 디지털논리회로실험 - 제 10장 플립플롭
    이러한 순차논리회로의 가장 기본이 되는 회로가 플립플롭 회로. ... 실험 과정, 회로도 및 타이밍 다이어그램그리고 예비실험 및 조사2.1 플립플롭의 이론순차 논리회로(Sequential Logic Circuit)는 입력에 의해서만 출력이 결정되는 조합논리회로 ... D 플립플롭의 논리기호, 논리회로, 진리표 및 동작 펄스 파형은 [그림 B]와 같다.
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.08
  • 디지털논리회로실험 - 제 3장 Exclusive 게이트
    I (AND, OR, INVERT) Gate특정한 논리함수를 수행함에 있어서 종종 둘 또는 그 이상의 입력을 AND 연산한 후출력을 NOR 연산시키는 회로가 필요하다. ... 실험 목적 및 기본 개념실험 목적: Exclusive-OR(XOR), Exclusive-NOR(XNOR) 게이트의 기본 논리동작 및 특성을 실험을 통하여 이해, 응용 능력 배양.※ ... 논리 실험기? 오실로스코프? 7486 (4조 2입력 XOR Gate)? 7400 (4조 2입력 NAND Gate)? 7408 (4조 2입력 AND Gate)?
    리포트 | 13페이지 | 1,500원 | 등록일 2008.11.27
  • 디지털논리회로실험 - 제 2장 UNIVERSAL 게이트
    실험 목적 및 기본 개념실험 목적: 논리 회로에서 가장 많이 사용되는 유니버셜 게이트인 NAND, NOR Gate의기본논리 동작 및 특성을 실험을 통하여 이해.NAND, NOR 게이트만으로 ... 어떠한 디지털 논리회로도를 나타낼 수 있다.즉, NAND, NOR 게이트로 AND, OR, NOT 게이트를 비롯한 모든 논리 게이트를 표현할 수 있다는 이유로 NAND, NOR 게이트를 ... 실험 과정, 회로도 및 타이밍 다이어그램(1) NAND Gate※NAND 게이트는 2개 이상의 입력과 1개의 출력으로 구성된 논리게이트.AND 게이트의 출력에서 Inverter를 삽입한
    리포트 | 13페이지 | 1,500원 | 등록일 2008.11.27
  • 디지털회로 [ 기본 논리 게이트(AND, OR, NOT) _ 결과 ]
    응용 회로 동작 확인마지막 실험은 AND, OR, NOT gate의 응용 회로의 동작을 살펴보는 것이다. ... 마찬가지로 111일 경우에도 살펴보면 첫 번째와 두 번째 AND 게이트는 0, 세 번째 AND 게이트가 1이므로, 출력 f는 1이 된다.3.고찰이번 실험은 기본적 논리 게이트들의 동작을 ... 기본 논리 게이트제출일실험조조 원-결과 보고서-1.실험결과· 실험 1입력출력ABCDEFG0000*************00000011000010000001010000110000011100010000001001000101000010110001100100110110011101101111111
    리포트 | 5페이지 | 1,000원 | 등록일 2008.04.08
  • [디지털논리회로] 디지털논리회로실험예비레포트
    실제 회로는 증폭회로를 2단 고리처럼 연결한 형식이 기본이다. ... 플립플롭회로는 컴퓨터의 연산 ·제어회로에서 수를 저장하거나 정보의 흐름을 제어하기 위해 많이 사용된다.다음은 다양한 플립플롭에 대한 특성표와 기호 및 회로도의 예입니다.예1 : 비동기적 ... 회로의 출력 게이트는 NOT의 기능을 가리킨다.
    리포트 | 7페이지 | 1,500원 | 등록일 2003.08.29
  • [디지털 논리회로] 기본논리회로 프리젠테이션
    AND 스위치 회로스위치 두 개가 모두 열려 있을 때.. A=0 B=0전구에 불이 들어오지 않는다 Y = A × B =0AND 스위치 회로스위치 두 개 모두 연결되었을 경우.. ... = A + BBA스위치 NOT 회로스위치가 열려 있을 때 전구에 불이 들어온다 A=0 - Y=A'=1스위치 NOT 회로스위치 A가 닫혔을 때에는 불이 켜지지 않는다 A=1 Y=A' ... A=1 B=1전구에 불이 들어온다 Y= A × B =1AND 연산의 진리표111001010000Y = A × BBAOR 스위치 회로스위치 두 개가 모두 열려 있을 때..
    리포트 | 9페이지 | 1,000원 | 등록일 2003.06.29
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    그 친구의 논리도 들어보면 맞지만 내가 생각해낸 논리역시 정확하다고 믿었기에 그대로 밀고 나갔다. ... 나의 논리는 위 코드에서 나와있듯이 50M번을 돌았을 때가 0.01초로 지정하고 그렇게 된다면 결국 그 값은 1초에 100번 돌았으니 결국 이 값은 100hz이고 결국 50*10^4 ... 그런데 이 분주한 것에 대해 친구가 반론이 들어왔었는데 그 친구의 논리는 보드에서 50Mhz를 넣어주게 되는데 이것의 의미는 50M번 움직인 것이 1초에 해당하는 것이니, 0.01초는
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감