• 통큰쿠폰이벤트-통합
  • 통합검색(4,163)
  • 리포트(3,708)
  • 자기소개서(221)
  • 시험자료(142)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지탈 논리회로" 검색결과 361-380 / 4,163건

  • 서강대학교 디지털논리회로실험 3주차결과
    논리회로이다. ... 디지털논리회로실험실험3. Decoders and Encoders담당교수 : 김 영 록제 출 일 : 2013. 10. 01.(화)학 과 : 전자공학과성 명 :1. ... 위의 회로에서는 n-bit binary code가 사용되는데, n개의 Input에 따른2 ^{n}가지의 output을 내보낼 수 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 서강대학교 디지털논리회로실험 9주차결과
    디지털논리회로실험실험9. Memory elements : ROM/RAM담당교수 : 김 영 록제 출 일 : 2013. 11. 19.(화)학 과 : 전자공학과성 명 :1. ... 이렇게 회로를 구성할 경우 counter가 0000부터 1111까지 출력되는 것이 아니라 000부터 111까지 출력하는 회로가 되어 3-bit counter를 구현할 수 있다. ... 실제 7-segment에 ROM의 data를 읽어 display하는 실험을 진행하기 위해 구현한 회로는 다음과 같다.
    리포트 | 7페이지 | 2,000원 | 등록일 2014.01.02
  • 서강대학교 디지털논리회로실험 5주차결과
    . ● 3-bit Comparator (A=B) A(A2, A1, A0) 과 B(B2, B1, B0)을 비교하는 회로이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • [논리회로] 디지털시계 설계 텀프로젝트 제안서
    Digital Logic Term Project Proposal수강번호132411 조■ Title : 24시간 표현 디지털시계■ Objective24시간이 표현되는 디지털시계를 논리회로 ... ▶Example : Clock System■ Job assignment▶공동작업아이디어 제안, 회로 시뮬레이션, 제안서 제작▶개인작업- 아이디어 제안- 불참- 회로 시뮬레이션, 보고서 ... 작성- 회로 시뮬레이션, 보고서 작성- 회로 시뮬레이션, PPT 발표■ ScheduleDateContents11/25(금)아이디어 제안 종합11/26(토)아이디어 선정 및 구체화
    리포트 | 2페이지 | 1,000원 | 등록일 2013.02.06
  • [디지털 논리회로 실험] 11장. 비동기식 RS 플립플롭 예비레포트
    플립플롭의 기능에 대하여 기술하시오.디지털 논리회로는 조합논리회로와 순서논리회로로 크게 구분할 수 있다. 조합논리회로는 출력이 현재 입력 값에 의해서 결정된다. ... 이것은 조합논리회로의 특성이다. ... 디지털 회로에서는 이러한 기억소자로서 플립플롭(Flip Flop: F/F)이 사용되고 있다.플립플롭은 내부가 논리 회로로 구성되어 있기 때문에 논리 회로에 준하는 빠른 동작속도를 얻을
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • 디지털논리회로verilog(full adder, 4bit full adder, comparator, 4bit comparator)
    디지털 논리 회로 verilog 과제학과학년학번이름이번 과제는 verilog 프로그램을 통해서 full adder, 4bit full adder, comparator, 4bit comparator을
    리포트 | 6페이지 | 1,000원 | 등록일 2017.01.06
  • [디지털논리회로/임석구/개정3판]연습문제 1장풀이-족보
    조합논리회로와 순서논리회로 분류① 조합논리회로② 조합논리회로③ 조합논리회로④ 순서논리회로⑤ 조합논리회로16. ... [디지털논리회로/임석구/개정3판] 3판 연습문제 1장풀이디지털 논리회로 Solution of Chapter 11. 아날로그 신호와 디지털 신호의 차이점을 설명하여라.? ... 회로나 전송로에 잡음이 없는 경우에도 이 양자화잡음은 반드시 존재하는 것이다. 분해능을 크게 하면 양잡화 잡음을 최소화 할 수 있다.17.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.04.16 | 수정일 2016.04.18
  • 디지털논리회로리포트(4진업카운트설계)
    JK FF을 활용한 동기식 4진 업 카운터 설계CKQ(t)Q(t+1)JKQ1Q0Q1Q0J1J0K1K00000101dd101101dd121011d10d31100dd11□ 여 기 표Q1Q00100d11dQ1Q0010dd111Q1Q0010d01d1Q1Q0010111dd□ 카..
    리포트 | 1페이지 | 1,000원 | 등록일 2012.11.27
  • 디지털논리회로 레포트(전가산기)
    NOT 논리회로 및 진리표 >< OR 논리회로 및 진리표 >< NOT AND OR 논리회로 > < NOT AND OR 시뮬레이션 >< XOR 논리회로 및 진리표 >< XOR, NOR ... 이론◆디지털 입력소자◆반가산기< 논리회로 > < 시뮬레이션 >◆전가산기< 논리회로 > < 시뮬레이션 >◆AND, NOT, OR, XOR, XNOR< AND 논리회로 및 진리표 > ... 논리회로 > < XOR, NOR 시뮬레이션 >3.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.03.03
  • 디지털논리회로 설계 프로젝트 보고서
    디지털논리회로 설계 프로젝트 보고서프로젝트의 제목 : 디지털 시계 설계설계자(팀원 전체) 성명 :제출일 : 2008년 12월 20일국문요약 : 디지털 논리 회로 시간에 배웠던 논리 ... ·Quaters Ⅱ를 이용해 논리 순차 회로를 구성하는데 필요 했던 이론들Component문실제로 전자 제품의 회로를 보면, 절대 하나의 칩으로 구성 되어 있지 않다. ... 이 카운터를 비 동기식으로 연결 하여, 디지털시계를 만들었다.Seven Segment의 원리실제로 논리회로에서 사용되는 Signal은 0과 1의 2진수 이다.
    리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    디지털 회로에서는 이러한 원리를 carry를 이용해서 회로를 구현시킨다. ... 이 때 각 논리 게이트는 특정한 지연시간을 가지도록 설계한다.- 32-비트 입력에 대한 test vector를 만든다. ... 디지털회로설계프로젝트 #21. 제목- 고속 동작 덧셈기 설계2.
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • [디지털 논리회로 실험] 13장. 동기식 D, T 플립플롭 결과레포트
    논리회로실험 A반결과13장동기식 D, T 플립플롭5조이름학번실험일15.05.26제출일15.06.02실험에 사용된 기기 및 부품 : 오실로스코프, HD74LS74AP, GD74LS76AN ... 주파수 2분주 회로회로도이다.회로도에 IC 핀 번호를 작성하라.(2) Q를 0으로 초기화 하라. ... 따로 분주회로가 있지만 2분주 회로 의 경우 위와 같이 JK-FF을 이용해서 만들기도 하는데 J = K = 1로 연결하면 분주회로가 된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • [디지털 논리회로 실험] 11장. 비동기식 RS 플립플롭 결과레포트
    논리 게이트를 이용하여 비동기식 RS 플립플롭을 구성한 회로도에 핀 번호를 작성하라.2. ... 논리회로실험 A반결과12장비동기식 RS 플립플롭5조이름학번실험일15.05.12제출일15.05.12실험에 사용된 기기 및 부품 : HD74LS02P, HD74LS04P, HD74LS00P실험 ... NOR 게이트를 이용하여 비동기식 RS 플립플롭을 구성한 회로도에 핀 번호를 작성하라.2.
    리포트 | 2페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2017.10.17
  • 논리회로설계실험 프로젝트_digital door rock
    과 목 : 논리회로설계실험과 제 명 : 프로젝트 결과보고서(P_6조)담당교수 : 조준동 교수님학 과 : 전자전기공학과학 년 : 3학년학 번 : 2006312687, 2006312117이 ... 름 : 서 영 진, 김 현 기학 번 : 2007310623, 2007313531이 름 : 정 광 수, 손 계 익제 출 일 : 2011. 6. 2111_1학기_논리회로설계실험 프로젝트 ... 컴퓨터하드웨어는 0과 1인 비트로 모든 정보를 표현하고, 이러한 정보들은 논리적인 연산을 거쳐 가공된다.
    리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • Maxplus II를 이용한 디지털 논리 회로 실험
    파형의 상태로 논리 회로를 구성할 때는 입력 조건에 대한 출력 신호 등을 파형의 형태로 설계하여 그것이 하나의 논리 회로로 동작하게 한다. ... 때문에 복잡한 논리 회로를 설계하는 데에는 어려움이 따른다는 것이다. ... 디지털논리회로 실험(Maxplus II)정보통신공학과Maxplus II 프로그램은 모든 설계를 프로젝트 중심으로 관리한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.11.03
  • 최신 디지털회로실험 실험5 추가논리게이트
    추가 논리 게이트실험목표 :□ 실험을 통하여 OR와 XOR의 진리표 결정.□ 펄스 파형을 이용하여 OR와 XOR 논리게이트 테스트.□ OR와 XOR 게이트를 사용하여 4비트 2진수의 ... 1의 보수 또는 2의 보수를 실행하는 회로 구성.□ 모의 실험용 결함에 대한 보수(complement) 회로의 고장 진단.데이터 및 관찰내용 :표5-2 표 5-3OR 게이트 XOR게이트입력출력측정출력 ... 직접 눈으로 확인 할 수 있었고 무엇보다 조원의 팀웍이 필요했던 실험 이였다.보수회로에서 발생할 수 있는 4가지의 문제점을 가지고 가능한 원인을 생각해 봄으로써 , 회로를 구성하는데
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.02
  • [디지털회로실험]논리게이트
    논리 게이트는 논리적 결정을 하기 위한 전자 회로로서, 대부분의 디지털 시스템을 이러한 게이트들로 구성되어 있다. ... 논리 게이트는 오늘랄 여러 가지 형태로 직접 회로 내에 이용되고 있으며, 가장 널리 보급되어 있는 종류는 TTL (Transistor Transistor Logic), ECL (emitter ... 예비보고서실 험 주 제 :논리게이트(Logic gate)과 목 :학 번 :분 반 :이 름 :1.실험 제목: 논리게이트2.관련 이론논리 게이트란?
    리포트 | 5페이지 | 1,000원 | 등록일 2008.09.20
  • 디지털 공학 순서논리회로 플립플롭 예비보고서
    순서논리회로 I : 플립플롭1. ... 이론입력 신호의 순서에 따라서 동작 및 출력이 달라지는 순서논리회로에 관해서 실험하고자 한다. 순서논리회로를 구성하는 기본소자는 플립플롭이다. ... 논리상태를 확인하여 표2에 기록한다.(4) 7400 NAND 게이트와 7401NAND게이트를 이용하여 회로(d)를 구성하고 데이터 스위치로 S,R,CLK,PR, CLR)의 논리 상태를
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.29
  • 논리회로설계 프로젝트 디지털 시계2 (7-segment)
    이번 설계과제는 ‘2차 과제에서 7-segment에 출력하기 전단계인 32bit의 출력에 ‘초,분,시,일’을 8bit씩 차지하여 총 32bit로 출력한 데이터’를 실제 7-segment에 출력을 하는것이다.LIBRARY ieee; USE ieee.std_logic_1..
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.08
  • [논리회로] 디지털시계 설계 텀프로젝트 최종보고서
    설계 목적논리 회로 시간에 배운 것들을 토대로 다음 설계 조건을 만족하는 회로를 설계 해 보자.2. ... 논리회로T e r m P r o j e c t수강번호1324목차1. 설계 목적2. 설계 조건3 설계 내용 및 방향4. 구체적인 설계 내용5. 회로도 및 시뮬레이션6. ... 이는 스위치를 한번 눌렀다 땔 때마다 한 클럭 주기 동안 1을 출력해 주는 단발펄스 발생회로를 사용해서 회로를 설계하기로 한다.▲ 시간 설정 회로▲단발펄스 발생 회로4.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.02.06
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감