• 통큰쿠폰이벤트-통합
  • 통합검색(352)
  • 리포트(336)
  • 자기소개서(15)
  • 이력서(1)

"xilinx" 검색결과 21-40 / 352건

  • 자일링스(Xilinx)를 이용한 신호등 제어기 설계
    그로인해 초기 8주차 까지는 본격적인 설계를 하지 않았고, Xilinx Ise 10.1의 사용법과 플립플롭, 카운터 등 프로젝트 설계를 위한 기초 지식을 익히는데 초점을 맞췄다.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    Post-Lab ReportLab#02[HBE-ComboⅡ-SE] board[Xilinx Spartan3] FPGA chip[ISE] digital design tool담당 교수강 ... SRAM타입을 보완하기 위한 디바이스 파일로 Configuration PROM용 파일을 생성한다.iMPACT창의 Create PROM File을 선택한다.Device type : Xilinx ... Symbol은 아래와 같다.ABX000011101111Materials & MethodMaterials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • xilinx를 이용하여 4bitsadder 와 4bits comparator 만들기
    전자전기컴퓨터실험설계 IIPostlab report제 목제출날짜이 름목 차1. 소개31.1. 실험 목적31.2. 이론배경32.예비실험42.1. Assingment 142.2. Assingment 263. 실험결과73.1. 4bits adder83.2. 4bits com..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.07
  • FPGA, Xilinx ISE 7.1i 로 주무르기
    FPGA를 이용한 디지털 회로 설계 및 시뮬레이션이 정 규Digital circuit design and Simulation by FPGAjyung-kyu LeeKey Words: Key Words(키워드), 를 입력하시오.AbstractAccording as Digi..
    리포트 | 4페이지 | 2,000원 | 등록일 2007.10.25
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    전자전기컴퓨터설계실험IIIPRELAB REPORT[Decoder and Encoder]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "purpose" 1. Purpose of the Experiment HYPERLINK \l "theory" 2. Th..
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『Xilinx ISE』] 결과 보고서
    목 차< 초록 (Abstract) >‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 11. Introduction (실험에 대한 소개) ‥‥‥‥‥‥‥‥‥‥‥‥ 1가. Purpose of this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 1나. Essential Background..
    리포트 | 17페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    시계의 구성가.XILINX를 이용한 7-SEGMENT시계의 개념도나.VHDL을 통한 소스 분석3.결론※ 7-SEGMENT를 이용한 시계구현 목적XILINX칩과 7-SEGMENT 모두 ... XILINX칩을 이용한 7-SEGMENT(7-세그먼트) 시계구현※목차1.7-SEGMENT의 이해가.7-SEGMENT의 구조나.7-SEGMENT의 출력 데이터2.7-SEGMENT를 이용한 ... XILINX를 이용한 7-SEGMENT 시계의 개념도SystemClock시 간10의 자리DIGIT6Select 6SystemClock분초1의 자리10의 자리1의 자리10의 자리1의
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • [VHDL] FPGA (Xilinx & Altera)
    FPGA들은 각각 다른 technology들을 사용함으로써 구조도 각각 다르게 되는데 상업적으로 가장 많이 쓰이는 FPGA들에는 Xilinx, Altera, Actel가 있다.■ Xilinx ... FPGA■Xilinx FPGA의 일반적인 구조는 그림 1과 같으며, Programmable Logic Block(CLB)들의 2차원적인 배열과 행 블록들 사이의 수평적인 배선 채널 ... 가능한 resource들은 static RAM셀들에 의해 제어되어지며 CLB들의 일반적인 구조는 그림 2와 같으나 각 패밀리들은 조금씩 다른 구조들을 가지고 있다.■ 그림 3에는 Xilinx
    리포트 | 5페이지 | 1,000원 | 등록일 2002.04.20
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    오늘날 Xilinx 매출 중 13% 이상은 비전통적인 컨수머 분야에서 발생하고 있다. ... 우수한 패키징, 속도 등급, 테스트 및 공정 기술을 통해 Xilinx는 상당한 비용 절감 효과를 거두었다. ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[The Usage of Xilinx ISE on Spartan-3]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 사용법 Post lab)
    전자전기컴퓨터설계실험IIIPOSTLAB REPORT[The Usage of Xilinx ISE on Spartan-3]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l ... 실 험 소 개 (Introduction)1) Purpose of the Experiment이 실험에서는 Xilinx ISE 9.2i 프로그램과 Spartan-3 board의 사용방법을 ... 또한 사전강의에서 배운 과정 하나하나를 빼놓지 않도록 실험하는 동안 주의를 기울여 실험을 하여야 하겠다.# 참고문헌- ISE를 이용한 VHDL 및 FPGA 실습, Xilinx ISE
    리포트 | 8페이지 | 2,000원 | 등록일 2008.09.28
  • LED 도트메트릭스(dot matrix)로 숫자 표현하기[Xilinx칩에 VHDL소스를 이용]
    LDM(LED Dot Matrix)를 통한간단한 숫자 표현작성자 :※목차1.LDM(LED Dot Matrix)구현 목적2.LDM(LED Dot Matrix)의 구조가.LEM의 구조나.LEM의 동작원리3.LDM프로그램 소스 및 분석가.LDM 소스나.LDM 소스분석1)En..
    리포트 | 13페이지 | 1,500원 | 등록일 2008.01.01
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    전자전기컴퓨터설계실험IIIPRELAB REPORT[BCD to Excess-3 Code Converter]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" 1. 실 험 소 개 HYPERLINK \l "purpose" 1) Purpose of ..
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL+Xilinx=시계 (회로도+소스)
    OrCad dsn file 회로도 - xilinx FPGA 40serise 사용 - 7-seg 6개 사용
    리포트 | 20페이지 | 무료 | 등록일 2001.07.12
  • [논리회로, 전자계산기 구조]verilog HDL & xilinx 툴 사용법
    Verilog HDL의 역사 중요한 데이터 형 Module Port Data Types 게이트 수준의 모델링 Xilinx Tool 사용법Verilog HDL 이란? ... 주의 사항 CD Key와 Serial Number는 대문자까지 명확히 입력한다.라이센스라이센스 받아오기 http://www.xilinx.com/programs/xsepre.htm 주의 ... fulladd fa2(sum[2], c3, a[2], b[2], c2; fulladd fa3(sum[3], c_out, a[3], b[3], c3; endmodule논리회로 프로젝트를 위한Xilinx
    리포트 | 41페이지 | 1,500원 | 등록일 2004.12.07
  • LG이노텍 CTD 전기전자직 합격자소서
    #딥러닝 가속기 설계Xilinx 사의 SoC인 Zynq-7020을 사용하여 딥러닝 네트워크의 추론을 가속하는 가속기 설계를 하고 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.11.16
  • 시립대 전전설2 Velilog 결과리포트 2주차
    배경 이론1) Xilinx ISE의 특징(1) Xilinx 디바이스 제어용 소프트웨어(2) 설계, 컴파일, 시뮬레이션, 프로그램 지원(3) 설계 파일을 프로젝트화해서 관리(4) Schematic ... 실험 목적- Xilinx ISE 프로그램을 이용하여 논리회로 게이트를 프로그래밍 해본다.2. ... 실험 장비 및 부품(Materials of the Experiment)1) 장비노트북Xilinx ISE 프로그램HBE-Combo Ⅱ-DLD2) 부품LED4.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • [서울시립대] A+ 전자전기컴퓨터설계2 2주차(Schemetic)결과레포트(예비레포트포함,시립대)
    :이름 :Ⅰ.서론실험 내용: Xilinx ISE의 특징과 역할, 그 역할들을 이용하는 방법을 알아본다. ... 전자전기컴퓨터설계실험 2결과 레포트실험 제2주(2021. 10. 12)Lab#02 『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool학번
    리포트 | 10페이지 | 1,000원 | 등록일 2021.12.30 | 수정일 2022.01.03
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 하지만 칩 한 개당 단가를 따질 경우 비용이 높고 집적도가 떨어져 칩의 면적이 상대적으로 크며 성능이 떨어진다는 단점이 있다.이번 실험에서 이용할 IC는 미국의 XILINX에서 만든 ... FPGA는 따라서 일반적으로 PROM과 함께 사용되어 전원이 공급됐을 때 PROM에서 데이터를 읽어온다.- 본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan 3 Family이고
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    FPGA의 이해- 1985년 미국의 XILINX사에서 세계 최초로 FPGA를 발표하였다. ... 직접 IC를 제작하여 활용할 수 있다는 점이 특징이다.- 설계 data의 저장 방식에 따라 SRAM 방식(설계 data를 SRAM에 저장하기 때문에 반복 사용할 수 있는 방식으로 XILINX ... Digital Design with an Introducton to the Verilog HDL 5thedition3) XILINX DS099 Spartan-3 FPGA Familiy
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 9장 VHDL 설계 툴 사용법 예비
    Xilinx VHDL 설치법(1) www.xilinx.com에 접속하여 ②에 있는 Downloads를 클릭한다.(2) ISE Design Tools를 클릭한 다음 12.4를 클릭한다 ... VHDL의 설계 툴 중 하나인 Xilinx VHDL의 설치법을 익힌다.나. ... Xilinx ISE Design Suite 12.4 ? ISE Design Tolls ? Project Navigator의 경로를 따라가면 Xilinx를 사용할 수 있다.다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대