• 통큰쿠폰이벤트-통합
  • 통합검색(3,661)
  • 리포트(3,402)
  • 시험자료(111)
  • 자기소개서(51)
  • ppt테마(33)
  • 방송통신대(24)
  • 논문(22)
  • 서식(14)
  • 이력서(2)
  • 노하우(2)

"3ds" 검색결과 3,081-3,100 / 3,661건

  • Design of Two Stage OP Amp
    gnd nch W=10u L=0.5u *.alter *MN3 vdd vin gnd gnd nch W=10u L=1.5u .end결과 파형결과 파형결과 파형Av = 1.67kv/vf3dB ... ########### .op .global vdd gnd .ac DEC 100 1 2000meg *########## Output ################ .print vdb ... ##########4조다반######### MP1 vx vin1 vs vdd pch W=60u L=0.5u MP2 vout1 vin2 vs vdd pch W=60u L=0.5u MP3
    리포트 | 23페이지 | 2,500원 | 등록일 2007.04.24
  • [간호학]아동간호학 전염성 질환
    bronchiectasis,encephalitis,convulsion,결핵 악화,hernia(복압상승)active : DPTpassive :R-globulineRubella(German Measles, 3day ... infection(abscess, sepsis)-Reye's syndrome (특히 aspirin 사용 부작용)-active: BIKEN(생후12月부터)(7~10일 후 면역형성)- ... spot :발진 2일전2) eruptive stage- 귀 뒤→얼굴→목→팔→몸통, 하지ma lymphadenopathy,결막분비물동반3) convalescent stage- fever
    리포트 | 4페이지 | 1,000원 | 등록일 2007.07.01
  • 방송통신대 2008년 시뮬레이션 과제물
    = 0)){ queue = queue - 1;poissn(&nseed,&p);tpump = p;}totque = totque + queue;fprintf(output," %3d %d ... // SIMULATION FOR A SINGLE QUEUEING SYSTEM : single-pump gas station //// TO EVALUATE MEAN QUEUE LENGTH ... #include#define SEED 111111;long p;float mean = 2, b;// RANDOM NUMBER GENERATOR //void random(long *np
    시험자료 | 8페이지 | 1,500원 | 등록일 2008.05.30
  • 활로4징(CP)수술전후
    ventricular outflow Tract)를 넓혀주고, 폐동맥의 주요가지(Main Pulmonary Angioplasty)를 재건해주는 수술(1) Admission(Pre OP. 3day ... NPOafter 1st voiding → then hydration, TD(WMF)→ 심도자술로 인한담을 줄이고, 호흡을 용이하게하기 위해⑧ 평가1. 안정된 V/S 2. ... V/S q 8hrs→ (심첨맥박수, 사지혈압, 호흡상태와 체온) 활력징후를 사정함으로써 아동의 수술 전 상태를 평가할 수 있다.2.
    리포트 | 16페이지 | 2,000원 | 등록일 2008.07.23
  • C언어의 표준파일입출력 요약(파일 읽기/쓰기), 소스
    \n");char text[1024];int count = 1;while (true){printf("%3d : ", count);gets(text);if (text[0] == '\x18 ... 수 있는 함수이다.예를 들어 80바이트 만큼 읽어오고 싶다면char s[80];fread(s, 80, 1, fp);혹은char s[80];fread(s, 10, 8, fp);이렇게 ... ;파일에 쓰기 예) fprintf(fp, "%d %s", count, str);파일에서 읽기 예) fscanf(fp, "%d %s", &count, str);5. fread, fwrite을
    리포트 | 10페이지 | 1,000원 | 등록일 2007.06.14
  • 3D 기하학 스톤 템플릿_624TGp
    표지1, 내지19, 3가지 색구성표
    ppt테마 | 20페이지 | 15,000원 | 등록일 2008.07.11
  • 19GHz 대역 고속 무선 LAN 시스템의 구성과 개발 핵심기술
    100ns 이하를, 또한 19GHz대는 특히 저손실이 요구되므로 스위치 전용 MMIC(Monolithic Microwave Integrated Circuits)를 선정하여 손실 3dB ... 이들 무선 LAN은 무선 구간에서의 통신속도는 1M~10Mbit/s로 Ethernet 인터페이스를 가지고 있다. 2.4GHz대의 무선 LAN은 1M~5Mbit/s의 전송속도로, 스펙트럼 ... 근년에는 100Mbit/s를 넘는 고속 LAN 프로토콜도 있지만, 본 방식에서는 장치를 구성하는 하드웨어의 실현성을 고려하여 통신속도 10Mbit/s의 Ethernet 인터페이스에
    리포트 | 10페이지 | 2,000원 | 등록일 2008.04.16
  • Co(NH3)5(H2O)]Cl3 합성 세미나
    ↓(붉은색)Co(Ⅲ)펜타아민 화합물Co(NH3)5(H2O)]Cl3Cobalt(코발트)Cobalt compound① Cobalt의 전자 배치 : [Ar] 3d74s2② Cobalt 산화 ... /mol3) 제조한 화합물의 UV-VIS spectrumCo(NH3)5(H2O)]Cl34) CO(NH3)5(H2O)]Cl3 UV-VIS spectrumCo(NH3)5(H2O)]Cl3Co ... or q=-1(ONO-, NO2-, Cl-)일반적으로 수용성인데, 과량 HCl 용액에서 침전 [Co(NH3)5L](3+q)+ + (3+q)Cl- -- 2[Co(NH3)5L]Cl (s)
    리포트 | 43페이지 | 3,000원 | 등록일 2009.04.16
  • NMOS CS amp Pspice common source CS증폭기 bodeplot 공통소스증폭기 주파수응답 설계 피스파이스 Pspice
    FH=10KHZ=-3dB지점에서 45도{nameOfApplication=Show} ... Pspice에서 설계하고 주파수 응답과 Pole Zero의 변화에 따른 응답 Unity Gain Freq.23.659dB=|AM|=20LOG|15.2| Dif의 -3.2590dB=~ 3dB지점 ... A1 = FH = 64.686K=~ 66.2kHz60dB=|AM|=20LOG|1000| Dif의 -3.0572dB=~ -3dB지점 A1 = FH = 10.11kHz=~ 10kHzPHASE
    리포트 | 6페이지 | 1,500원 | 등록일 2008.03.08
  • AGC(Advanced gastric cancer) case study
    건강검진상 gastric ca detec하여 op후 6th EP chemo후 abd CT상 PD판정나와 6th PCF do(dose reduction need) (pc:75% 5fu:3days ... Check the V/S q 8hr 8시간마다 V/S 측정2. BR(Bed Rest) ▶ 침상안정3. TD(Tolerable Diet) ▶ 일반식4. ... ×4.7×3.7cm- sized serosal nodule(장막의 혹) 만져지며, 일 1일 1회 3주 휴약C법: 25~35mg/㎡, 1일 1회 1주 휴약3.
    리포트 | 24페이지 | 1,500원 | 등록일 2008.11.20
  • chip resistor (칩 저항) 온도, 주파수 특성
    의 허수값 / 실수값 (X/R) 으로 정의되기도 합니다.두 번째는, 공진기, 여파기, 발진기 등에서의 밴드선택특성을 나타내는 값으로 사용되는데,이때 품질계수의 값은 중심주파수 / 3dB ... Heater 물질은 주로 Pt나 RuO2를 사용하는데 Pt의 경우 소자의 크기가 작아지게 되면 현재의 screen-printing 기술로는 충분한 저항을 얻기가 쉽지 않아 RuO2를 ... 이용한 평면형 후막센서가 각광을 받고 있는데 이러한 후막센서는 screen-printing을 이용하므로 대량생산이 가능하며 평면형 구조로써 소형화를 이룰 수 있어 타 회로와의 결합이
    리포트 | 9페이지 | 1,000원 | 등록일 2007.12.17
  • 설날에 대한 영작
    The holiday are continued for 3days, because whole the country koreans visit their family in these day ... Sul-nal is the lunar New Year's day.New Year's day is one of the biggest holiday and frist holiday of ... Because they believe new year's fortune is decided first day.
    리포트 | 1페이지 | 1,000원 | 등록일 2004.06.06
  • [전자회로]전자회로 앰프설계 연세대학교 프로젝트
    정확하게 100Hz가 3db-frequency를 가지고 있음을 확인 할 수가 있다.< 그림 4-3: Low-cut Frequency = 100Hz >3-3-2. ... 어찌되었건, 약 200Mhz 부근에서 이득이 3dB정도로 감소하고 있고, 이것은 BJT내부의 커패시턴스에 의한 제약일 것이다. 앞 절에서 접근 한 방법과 같다. ... 전자회로 Term Project - #2전자회로 Term Project - #2#2.
    리포트 | 26페이지 | 2,500원 | 등록일 2006.01.21
  • 학교 교실 및 강의실의 음향 설계
    그래프.학교 및 강의실의 음향설계1) 음압분포도 그림에서 보면 음원 근처의 음압레벨이 가장 크며 음원으로 거리가 멀어질수록 음악레벨분포가 낮아짐을 알수 있으며 일반적으로 최대,최소의 값이 3dB내외의 ... 음성명료도가 0.5 RT 와 S/N비 10에서 90% 유지해야 한다. 5. ... 잘 듣기 위해서 신경 쓰는 청취피곤도(Listener's Fatigue) 에서 자유롭게 해줘야 한다. 4.
    리포트 | 31페이지 | 3,000원 | 등록일 2008.10.24
  • [공학실험]국민대 자동차공학실험
    이외에도 주파수 응답선도에서 공진주파수를 중심으로 응답크기가 3dB떨어지는 주파수범위를 의미하기도 한다. ... Transfer Function)는 샤시와 차체의 연결부위의 소음 민감도의 평가기준이 된다.차량에 있어서 진동 에너지의 전달 경로가 N개 일때, 특정 지점에서의 전체 구조 기인 소음(structureborne
    리포트 | 3페이지 | 1,000원 | 등록일 2006.03.17
  • 유행성 출혈열
    정상임상 경과 정리증상 호전, 소변 정상주 - 월회 복 기요량 증가, 증상 호전수일 – 1주이 뇨 기감뇨, 출혈3-6일감 뇨 기정신 이상, 저혈압, 빈맥1시간 – 3일 hours~3days저혈압기발열 ... - 입원환자 세밀히 관찰 V/S 주의관찰 - 조심스럽게 간호 - 출혈 감염 등의 합병증 예방 - 발열기 말~핍뇨기 절대안정 - 이학적 검사시 타진 만으로도 혈종가능합병증 및 사인고혈압 ... : 초기 증가, 핍뇨기 이후 등장성 혹은 저장성, 이뇨기 저장성 단백뇨: 전례에서 관찰, 이뇨기때 소실 현미경적 소견: 혈뇨, 농뇨, 초기 다형핵세포검 사생화학 급성신부전과 달리 serum
    리포트 | 21페이지 | 1,000원 | 등록일 2006.11.22
  • [생물] 실험실 기초장비
    q=pipette&i=29085823&m=B&wm=3d&ru=http%3A%2F%2Fwww.humancorp.co.kr%2Fcatalog%2Fpipette01.htm" 용도 : 시료의 ... q=pipette&i=28502970&m=B&wm=3d&ru=http%3A%2F%2Fwww.humancorp.co.kr%2Fcatalog%2Fpipette01.htm" HYPERLINK ... search.empas.com/search/imgdir.html?
    리포트 | 4페이지 | 1,000원 | 등록일 2005.04.18
  • 창의적문제해결전략 - 나만의 여행계획서 작성하기
    /conts/ticket/3daykr/ 에비스초 역에서 하차하여 역에 위치한 오사카투어팰리스로 숙소를 잡는다(3500엔) 참고 - http://www.topalace.com/ 전철로 ... 대부분 이동이 가능 도쿄역에서 시나가와로 이동하여 호화스럽게 시나가와 프린스호텔에서 투숙(1박 싱글룸 13만원) 참고 - http://www.princehotels.com/ko/shinagawa ... 스루패스2일권을 준비(5000엔, 간사이지방의 전철과 버스 등의 자유이용권이며 5,6일차에 고베와 나라를 여행할 때도 이용하기 위하여 3일권 준비) 참고 - http://www.surutto.com
    리포트 | 24페이지 | 1,500원 | 등록일 2009.10.14
  • 청각기관의 구조와 기능
    이 비에 의해 약 2~3dB정도 음압이 증폭된다.음의 전달외이도의 음파→고막 진동→추골→침골→등골(3) 내이청각을 인지하는 ‘와우각’과 평형감각을 통제하는 ‘반규관’으로 구성된다. ... 1/3, 연골부로 되어 있고 이개 연골과 연속된 관을 형성하고, 귀지선과 모낭을 가짐② 골부 : 내측의 2/3, 외이도의 전체적인 모양은 S자형(음압 증폭의 효과)기 능① 이개는 ... 깔대기의 원리에 의해 환경으로부터 음파를 모으는 기능을 하지만 청각적인 측면의 기능은 없다.② 외이도는 환경으로부터 모은 음파를 고막으로 전달하는 기능과 S자형 형태, 가는 털 및
    리포트 | 6페이지 | 1,000원 | 등록일 2008.01.18
  • 디지털 기술의 이용 디지털 건축
    인터넷을 통해 열린사회에서 얻을 수 있게 되었고, 설계 과정에 필요한 다이어그램 작성, 스케치, 드로잉 등 은 물론이거니와 직접적인 물질로 만들어 지는 모델 조차도 컴퓨터로 가상의3d의 ... 정해진 일정내에 금속패널외장 마감이 불가능한 것으로 판단하고 속성공정이 가능한 shotcrete shell을 사용하여 내부와 외부를 동시에 시공하기 위해 EMP프로젝트에서 16분의 ... sketch{그림 2-16 EMP 1층도면{그림 2-17 EMP 2층도면{그림 2-18 EMP Sketch courtesy FOGA1.3.1FRANKO.GEHRY-DGBANK'S(
    리포트 | 14페이지 | 2,500원 | 등록일 2008.02.27
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 26일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대