• 통큰쿠폰이벤트-통합
  • 통합검색(3,661)
  • 리포트(3,402)
  • 시험자료(111)
  • 자기소개서(51)
  • ppt테마(33)
  • 방송통신대(24)
  • 논문(22)
  • 서식(14)
  • 이력서(2)
  • 노하우(2)

"3ds" 검색결과 2,981-3,000 / 3,661건

  • COPD copd 만성폐쇄성 폐질환 케이스 컨퍼런스(문헌고찰 환자정보 진단적검사 투약 ..)
    drunkySmoky Hx(+): /pack/3daysP/E : BP 130/90mmHg: RHB sm: WLF - mod. wheezin3)가족력 (Family history) ... Steroid 투여대개 FEV1이 1 L 이하이면 steroid의 투여를 고려해볼 수 있으며 steroid가 천식성기관지염이나 만성기관지염 환자에서는 극적인 치료 효과를 나타내나 노인환자에서는 ... 흡입 steroid 제제는 경구용보다 훨씬 안전하지만 천식과는 달리 COPD환자에서는 효과가 적다.?
    리포트 | 12페이지 | 2,000원 | 등록일 2009.06.27
  • 일반 화학 사이플러스 연습 문제 답 (솔루션)
    (a) 1s : n = 1, ℓ = 0 (b) 4s : n = 4, ℓ = 0(c) 3p : n = 3, ℓ = 1 (d) 3d : n = 3, ℓ = 2(e) 4f : n = 4, ... 광자의 진동수=υ===2.922×1015S-1 =2.922×1015HzE=hυ=6.626×10-34J.s×2.922×1015S-1=1.936×10-18J17. ... ) → 2Al(OH)3(s)33.
    리포트 | 144페이지 | 5,000원 | 등록일 2009.06.15
  • [전파공학실험]최종_Power Amplifier Design
    때마다 이론적으로 출력 전력은 두배(+3dB)로 늘어난다.이것들은 아래 하나의 그림으로 총 정리할 수 있다.- gain, power 정리 -※ 이득 (Gain)증폭기를 설계할 때 ... 이러한 판별에는 안정도계수(K)라 불리는 것을 사용하는데 아래 식에 Tr의 S파라미터를 입력하여 부등호를 만족하면 절대적인 안정상태가 된다. ... 선형 소자들의 S파라미터는 잘 정의되고, 입력 전력 레벨이나 출력부하 임피던스에 영향을 받지 않기 때문에 전해진 이득과 저잡음의 증폭기 설계를 아주 간단하게 할 수 있다.
    리포트 | 34페이지 | 3,000원 | 등록일 2009.03.01
  • 가와사키 케이스
    Methylprednisolone pulse therapy를 시행한다. - 30mg/kg × 3daysc. ... 비약물법으로는 안고 흔들어 주기, 노래부르기, 독서 등 기 분전환의 기술 포함 되어야 한다.Case study1. ... 지금 현재 가와사키 병은 일본 다음인 세계 2위인 만큼 이번 case study를 통하여 kawasaki disease에 대한 care를 잘 이해하고 실천할 수 있음에 있다.
    리포트 | 16페이지 | 2,500원 | 등록일 2009.12.10
  • DirectX 기반의 3D FPS 게임 엔진 (hwp)
    HRESULTGenerateSkinnedMesh( IDirect3DDevice9 *pd3dDevice, D3DXMESHCONTAINER_DERIVED *pMeshContainer ... 이 때 충돌처리방식은 BS(Bounding sphere)를 통한 1차 테스트와 1차 테스트를 통과한 오브젝트에 한하여 OBB를 통한 2차 테스트를 하게 된다. ... 정작 자신이 만들어야하는 프로그램은 간단한데 그것을 3D로 보여주기 st D3DXVECTOR3& tar );FLOATGetDistanceSq( const D3DXVECTOR3* tar
    리포트 | 22페이지 | 3,000원 | 등록일 2008.05.19
  • C-언어 (함수 기본)
    + %3d = %4d\n , a, b, sum(a, b)); return 0; } int sum(int a, int b) { return (a+b); }C-언어 (함수) 반환값(return ... (real parameters) 실인자를 기술할 때는 함수의 헤더에 정의된 자료유형과 일치하도록 해야 함int main(void) { int a=3, b=4; … printf( %3d ... (int a, int b) { return (a+b); }int sum(int a, int b); int sum(int, int);함수를 호출하는 부분호출된 함수가 실행되는 부분인자로
    리포트 | 34페이지 | 1,000원 | 등록일 2006.10.19
  • [인터넷문화]댓글,인터넷소설,블로그의 실태
    스럽소.jinsamchoi하여튼 인터넷이 째진 주둥이 배설장소야...eunsilsmith | 2007.04.18 08:04 찬성 : 1 | 반대 : 0죽을려면 니나죽지 참 ...pk3dswat ... 이거 내 주먹한방이면 되는데""지랄.또 허풍떤다" # 귀여니 소설 中3) 청소년들에게 심어지는 잘못된 사고방식1.요즘 출판되는 인터넷 소설은 외모지상주의가 아주 짙게 나타난다. ... 대부분이 감정에 치우친 글들이 많이구우.ㅡ.,ㅡ ...너.느끼해..=_= ........=_=...ㅡ_ㅡ^...ㅡ.,ㅡ..빨리 물어봐>_< 니가..말안해도 알어..븅아...... #2
    리포트 | 13페이지 | 2,000원 | 등록일 2009.11.26
  • 유동화실험(화학공학실험) 사전&결과보고서
    그러나 3dms 일반적으로 구형 입자에 비해 비구형 입자의 경우에 크므로, 에서는 이 0.52로서, 구형 입자에 관한 추산치와 비슷해진다.입자가 크면 종말속도를 Newton의 법칙( ... 자연적으로는 소위 “quick sands"로 유동화 상태가 일어나며 공업적으로는 건조, coating, 열전달 및 화학반응 등의 여러 조작에서 수행되어 진다. ... 여기서 입자의 구형도(sphericity)는 다음과 같이 정의된다.미세한 입자에 대해서는 체분석에 의해 크기를 구할 수 있으며 이때 크기를로 나타낸다.
    리포트 | 17페이지 | 1,000원 | 등록일 2009.05.22
  • 중국어 교육 어떻게 할까(제1장~제6장)
    C는consonant(學生 xue2sheng xue2sheng1어떤 한자가 경성이 되는지 아직은 명확하게 분석하지 못하고 있다. ... 1시-3-3-2 또는 1-3-3-3d. 万丈光芒 1-3-2-35) 리듬중국어에도 다른 언어와 마찬가지로 리듬과 억양이 있다.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.03.02
  • 경영과정보기술 웹서비스 129PPT 레포트
    3DVisual 서비스를 통하여 , 자신의 케릭터로 각 회사들의 서비스를 체험 혼자만이 아닌 , 접속유저들과 같이 다닐 수 있는 가상 공간 채팅 / 보이스 채팅 / 쪽지 기능 . http://3d.exitreality.com ... sold $5.73 billion in 2008, more than North America) for the first time.Amazon.com 아마존의 제프리 베조스 (Jeffrey ... Amazon.com 아마존의 성공비결 아마존 미디어 (, 서비스 - 책 ), 책 , 음악 , DVD( 가전 제외 ) $5.35 billion (Amazon International stores
    리포트 | 129페이지 | 3,000원 | 등록일 2009.05.26
  • 가나아트센타 Wil레스토랑 경영혁신전략
    개발해야 한다고 생각합니다.그 방법 중의 하나로 라떼아트에 빌레스토랑의 로고를 접목시키거나 Garnish에 로고를 접목시키는 방법을 생각해 보고 있습니다- Set Menu 재구성현재 3delicious ... Reelection#현재 주어진 조건에 작은 변화A. 홍보수단 개선 및 발전1. ... 특히 Lunch 고객의 대부분은 간단한 식사를 원하기 때문에 Up selling 역시 쉽지 않습니다.
    리포트 | 10페이지 | 50,000원 | 등록일 2009.03.16
  • PERFECT C(퍼펙트 C) - 11장 이해점검 풀이 자료입니다.
    \n\n", i);sum(i);return 0;}long sum(int a){int hap;if (a > 0) {hap = a + sum(a-1);printf("%d부터 %3d까지 ... #include long sum(int);int main(void){int i = 20;printf("1부터 %d까지 각각의 합을 구하는 프로그램입니다. ... #include void tohex(int);int main(void){int x;printf("10진수를 입력하면 16진수를 출력합니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2007.09.18
  • 성인간호 케이스자료
    오한,-기관지경련, 혈관부종-아나필락시스1)임산부2)수유부:모유이행SPR(Aldactone tab)Spironolactone-25mg/T1)50-100mg분할투여2)중단시 : 2-3dlfrks ... )FSMA40mg 12H#2prn) FSMA20mg- 5. ... 수액요법날짜수액명(번호)5월 22일5월 23일5월 24일5월 25일5월 26일임상적 의의HD# 123451FSMA20mgNs 5AM-NTP+KCLA20mg+HRIHV 20 c mix
    리포트 | 26페이지 | 10,000원 | 등록일 2009.06.10 | 수정일 2016.02.02
  • [자연과학]식품의 산도와 알칼리도
    10으로 나 눈다.(7) 계산식품의 산 알칼리도 = {B ― (A + C) × 1/10 × 100/SResult식품의 산 알칼리도 = {B ― (A + C) × 1/10 × 100/S= ... 0.1N HCl 용액을 시료 1g 당 10ml의 비율로 hole pipette으로 가한다.⑦ 환류 냉각기를 붙여서 15min 조용하게 비등시킨다.⑧ 냉각 후 0.1% pp를 2~3drops가하고
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.08
  • [C언어]C로 배우는 프로그래밍 기초 9장 연습문제
    \n");scanf("%d",&n);for(i=1;i ... #includeint main(void){int i,n;printf("몇까지 3의 배수가 아닌수를 출력할까?
    리포트 | 6페이지 | 1,000원 | 등록일 2004.10.06
  • X-ray diffraction, X-ray photoelectron spectroscopy 원리
    생기는 운동 에너지가 낮은 전자로부터 광전자, auger 전자 등 여러 종류의 전자들이 형성하는 많 은 peak들로 구성. ∴ 대략적인 원소 분석이 가능.예) Survey Ag3S3P13p3O1S3d33d5C1S분석 ... Eb = h υ - Ek - e Φsp Ebv = Eb + e Φs = h υ - Ek + (e Φs - e Φsp) Ek : 분광계(spectrometer)에서 측정되는 광전자의 ... )1초 동안 검출기(detector)에 도달하는 전자의 개수 개관 스펙트럼(survey spectrum or wide scan spectrum) : 비탄성 산란(inelastic scattering
    리포트 | 25페이지 | 3,500원 | 등록일 2008.06.14
  • ADS를 이용한 2.6GHz 위성DMB용 LNA설계
    선택하였다. www.alldatasheet.com에서 datasheet 확인, DC전압이V _{DS} =1.5V,`I _{D} =10mA일 때 주파수 2~4GHz에서 잡음지수는 0.3dB ... DMB용 위성인‘한별’에서는 S밴드(2~3GHz대)와 Ku밴드(12~14GHz대)를 사용하는데 한별에서 지상으로 수신할 때는 S밴드를 사용한다. ... 등가회로를 사용하는 방법은 상당히 복잡하여 잘 사용되지 않고 대부분의 LNA는 S 파라미터를 이용하여 설계한다.S 파라미터를 이용한 설계는 안정도(Stability) 조사를 하여 발진
    리포트 | 20페이지 | 2,500원 | 등록일 2007.11.14
  • 전 과정 평가(LCA)
    (Eco's, 1997)특히 가전3사를 중심으로 90년대 중반부터 시범적용을 시작하였는데, 삼성의 경우 95년도 냉장고 LCA를 시작으로 하여 97년 현재까지 TV 모니터, 세탁기, ... 상관없이 3D 형상 정보는 물론 기업의 다른 제품 정보 즉, 부품, BOM, 제품 사양, 설계변경 정보 등을 웹 기반의 솔루션을 통해서 이용 가능케 하는 ENOVIA Portal 3d ... 또한 ENOVIA Portal 3d com은 웹 중심 기업의 사용자와 CATIA V5Windows NT 사용자들이 탁월한 기능으로 Cofigured DMU와 데이터 관리 기능을 제공하는
    리포트 | 8페이지 | 1,000원 | 등록일 2007.11.03
  • 텀 프로젝트 ( c언어 사용 )
    aMonth[*month - 1] + *firstWeek; ++i){if (i % 7 == 0)printf("\n\t\t ");if (i >= *firstWeek){printf("%3d ... #include #include #include #include #include < process.h >#include < iostream.h >#include< conio.h ># ... include #define LEFT 0x4B#define RIGHT 0x4D#define UP 0x48#define DOWN 0x50#define ESC 0X1B#define SPACE
    리포트 | 2,000원 | 등록일 2007.11.24
  • Layered Double Hydroxide(LDH)
    또 l은 모두 3n(n=1, 2, 3, …)으로 나타나는데 unit cell에 해당하는 c-parameter를 3d로 잡아놨기 때문이다.d-spacing은 basal spacing ... 보통 d-spacing은 첫 번째 peak로 계산한다. ... 약 60℃에서 24시간 동안 반응시켜 slurry를 얻는다.
    리포트 | 9페이지 | 2,000원 | 등록일 2008.10.16
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 26일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대