• 통큰쿠폰이벤트-통합
  • 통합검색(21,670)
  • 리포트(18,664)
  • 자기소개서(2,304)
  • 시험자료(385)
  • 방송통신대(144)
  • 논문(96)
  • 서식(48)
  • ppt테마(16)
  • 이력서(10)
  • 표지/속지(2)
  • 노하우(1)

"회로 설계" 검색결과 281-300 / 21,670건

  • 설계실습 11. 공진회로(Resonant Circuit)와 대역여파기 설계 전기회로설계실습 예비보고서 중앙대
    공진 회로를 이용한 를 설계 제작 실험한다2. ... Ω,1k Ω,1/4W,5%) 2개 (20k 가변저항 Ω, 2W) 2개 : 10nF ceramic disc : 2 커패시터 개 : 10mH 5% 2 인덕터 개3.4 병렬공진회로
    리포트 | 4페이지 | 1,000원 | 등록일 2020.09.28
  • [예비보고서]중앙대학교 아날로그및디지털회로설계실습 4-bit Adder 회로 설계
    실습 목적조합논리회로설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로설계한다.9-2. ... 설계실습 계획서9-3-1 전가산기 설계(A) 전가산기에 대한 진리표를 작성한다.Ans.전가산기에 대한 진리표는 다음 페이지의 표이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.06.23
  • [전기회로설계실습]실습11(공진회로(Resonant Circuit)와 대역여파기 설계)_예비보고서
    [설계실습11. 공진회로(Resonant Circuit)와 대역여파기 설계] 예비보고서학번:이름:1. ... 실험 목적RLC 공진 회로를 이용한 Bandpass, Bandstop filter를 설계, 제작, 실험한다.2. ... 설계실습 계획서3.1 RLC 직렬회로에서 R에 걸리는 전압을 출력이라 하였을 때 C=0.01μF, 공진주파수가 15.92kHz, Q-factor가 1인 bandpass filter를
    리포트 | 7페이지 | 1,000원 | 등록일 2022.04.11
  • [결과보고서]중앙대학교 아날로그및디지털회로설계실습 4-bit Adder 회로 설계
    요약: 논리회로에서 전가산기 회로를 구성하여 실험하였다. 전가산기 회로는 A(피가수), B(가수), Cin(자리올림수)의 입력과 S(합), Cout(자리올림수) 출력으로 되있다. ... 반가산기에서는 고려하지 않은 자리올림을 처리할 수 있도록 한 회로이며 반가산기 2개와 자리올림수로 구성되어있는 회로이다. ... 세 번째 실험은 전가산기 2개로 2Bit 가산기 회로를 구성하였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.23
  • 전기회로설계실습 설계실습 11. 공진회로와 대역여파기설계 결과보고서
    Bandpass filter의 경우 Q-factor가 1인 회로 설계 시, 최고 오차율 약 11%가 나왔고, Q-factor가 10인 회로 설계 시, 작은 저항값으로 인해 오차율이 ... 요약 : RLC 공진 회로를 이용한 Bandpass, Bandstop filter를 설계, 제작, 실험해보았 다. ... 설계실습 결과 2.1 실험계획서에서 설계한 RLC직렬 bandpass filter (Q = 1, Q = 10)를 구성하고 R에 걸리는 전압을 출력이라 하였을 때 transfer function의
    리포트 | 5페이지 | 1,000원 | 등록일 2021.11.07
  • [전자회로설계 결과보고서][실험 05] 트랜지스터 바이어스 회로
    값의 변화가 가장 적은 방법은 전압 분배 바이어스 회로와 컬렉터 귀환 바이어스 회로임을 알 수 있었다. ⑥ 따라서 회로의 안정도를 높이는 회로는 전압 분배 바이어스와 컬렉터 귀환 ... ① [실험 1-1] ~ [실험 1-4]는 어떤 구성의 회로가 안정적으로 구동하는지 알아보기 위한 실험이다. ② 고정 베이스 바이어스 회로와 이미터 귀환 바이어스 회로의 실험 결과를 ... 살펴보면, 안정적인시뮬레이션 결과를 보이지 않음을 파악할 수 있다. ③ 이미터 귀환 바이어스 회로는 안정도를 높이기 위해 고정 베이스 바이어스 회로에서 이미터 저항을 추가한 회로이다
    리포트 | 14페이지 | 2,000원 | 등록일 2021.01.29
  • 전자회로설계실습 실습3(Voltage Regulator 설계) 예비보고서
    목적 : 전파정류회로를 사용하여 교류전원으로부터 직류전압을 얻는 기본적인 직류전압 공급기 (DC power Supply)를 설계, 구현, 측정, 평가한다.2. ... 설계실습 3. Voltage Regulator 설계1. ... (B) PSPICE : 위에서 구한 값을 사용하여 PSPICE로 회로를 그리고 분석하여 부하에 걸리는 파형을 제출한다. 0V,V _{p},V _{r} 을 표시해야한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.09.07 | 수정일 2020.09.15
  • 오디오 믹서 회로 설계 [서강대학교 전자회로실험 Term Project]
    설계 목표 9번의 실험을 통해 학습한 내용과 회로를 응용하여 오디오 믹서 회로설계한다. 설계 목표는 구 체적으로 다음과 같다. ... 이용한 마이크와 AUX 입력 신호 증폭 및 mixing 회로 설계 3) BJT를 활용하여 스피커 구동을 위한 전력 증폭기 회로 설계3. ... 전자회로실험 Term Project 결과 보고서1. 제목 : 오디오 믹서 회로 설계2.
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.25
  • 디지털논리회로 나눗셈기 설계 보고서
    결국 우리는 위와 같은 가정들을 만족하면서 나눗셈기를 설계를 하여야한다. ... 회로 구현의 경우 제수를 오른쪽으로 이동하는 대신 피제수를 왼쪽으로 이동합니다 (후자에 더 많은 레지스터가 필요한지 확인하게끔) 이걸 토대로 블록 다이어 그램은 다음과 같다.또, 나눗셈기의 ... 그러기 위해 결과적으로 다음과 같음을 보인다.나눗셈기 알고리즘에 맞게 설계하기위해서는 다음 그림2를 사용하여 다음과 같이 작업한다. 1.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.05.18
  • [전기회로설계실습 결과보고서] 분압기(Voltage Divider)의 설계
    설계실습 3. 분압기(Voltage Divider)의 설계요약: 부하효과를 고려하지 않는 회로를 우선적으로 설계했다. ... 실험 영상을 시청하기 전에 문제에서 요구하는 다양한 회로를 배워온 회로법칙 하에서 설계해가며 본격적으로 전기회로설계(Design)에 발을 내딛정에 사용된 회로와 동일했다.여기서 DMM의 ... (a)와 (b) 과정에서 설계회로가 교재에서 요구하는 조건에 부합하는 알맞게 설계된 분압기이므로 집적회로에 인가된 최종 회로구조를 도시하면 아래와 같다.최종적으로 정격 전압, 정격
    리포트 | 7페이지 | 2,000원 | 등록일 2020.12.24
  • 디지털 알람 시계 회로설계 및 제작
    회로도 및 회로 설명 ( 분주회로 ) 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현2. ... 회로도 및 회로 설명 ( 분주회로 ) 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현2. ... 디지털 알람 시계 회로설계 및 제작Contents Conclusion 작동 원리 주요 소요 부품회로도 및 회로 설명 TIME TABLE 1.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • [전자회로설계실습]실습6(Common Emitter Amplifier 설계)_예비보고서
    전자회로설계실습설계실습6. Common Emitter Amplifier 설계예비보고서제출자 성명:제출자 학번:1. ... 설계실습 계획서3.1 Emitter 저항을 삽입한 Common Emitter Amplifier 설계회로와 같이 emitter 저항을 사용한 Common Emitter Amplifier에서 ... 이 값을 이용하여 설계한 CE amplifier의 입력저항 을 구하라. (1차 설계 완료)rule of thumb in Design을 적용하면이므로모든 커패시터의 용량을 10μF으로
    리포트 | 8페이지 | 1,000원 | 등록일 2022.04.19
  • 아날로그및디지털회로설계실습 예비보고서11 카운터설계
    아날로그 및 디지털 회로설계 실습예비보고서(설계실습 11. 카운터 설계)11-1. ... 이용하여 10진 비동기 카운터의 회로도를 그린다. 11-3-2의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다.13-3-4 16진 동기 카운터 회로도그림 11-1의 ... 또한, Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 설계한다.11-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.17 | 수정일 2020.11.27
  • 전자회로설계실습 11 예비보고서 Push-Pull Amplifier 설계
    전자회로설계 실습(14주차 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 11. ... 위 왼쪽 회로와 같이 설계한 Push-Pull Amplifier에서 RL = 100 Ω, VCC = 12 V로 하여, Dead zone과 Crossover distortion을 확인하려고 ... (A) 그림 1(a) 회로를 simulation하기 위한 PSpice schematic을 그리되, BJT를 제외하고 부하저항을 100Ω으로 놓고, Simulation Profile에서
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.29 | 수정일 2022.03.31
  • 중앙대 전기회로설계실습 예비04 Thevenin등가회로 설계
    (b)R _{Th}를 구하는 실험회로설계하고 실험절차를 설명하라. ... 실험적으로 구하려고 한다.V _{Th}를 구하는 실험회로설계하고 실험절차를 설명하라. ... 전압계(DMM)의 위치를 명시하라.먼저V _{Th}를 구하기 위해 부하R _{L}을 뺀 회로를 아래와 같이 설계한다.위 회로와 같이 단자a, b사이에 DMM을 전압 측정 모드로 두고
    리포트 | 4페이지 | 1,500원 | 등록일 2020.04.07
  • 전자회로설계실습예비보고서3-Voltage Regulator 설계
    설계에 사용된 수식, 과정을 상세히 기술한다.Voltage Regulator는 출력으로, 정전압을 자동적으로 유지하기 위해 설계회로를 말한다. ... 목적전파정류회로를 사용하여 교류전원으로부터 직류전압을 얻는 기본적인 직류전압공급기(DC Power Supply)를 설계, 구현, 측정, 평가한다.2. ... C의 크기를 설계한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.03.29
  • [중앙대 전자회로설계실습]설계실습 3(Voltage Regulator 설계) 예비보고서
    설계실습 3(Voltage Regulator 설계) 실습계획서201xxxxx 홍길동6조 : 홍길동 xxx xxx실험날짜 : 20190401제출날짜 : 20190401(A)밑의 회로는 ... 브리지 방식 정류회로에 부하로 커패시터와 저항을 병렬로 연결한 회로이다. ... 따라서 입력은 진폭이 5.63 V인 정현파로 하면 된다.다음으로 ripple(Vr)이 0.9 V이하가 되도록 설계하라 했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.01.02
  • A+ 받을 수 있는 중앙대학교 전기회로설계실습 설계실습 9. LPF와 HPF 설계
    -*전기회로설계실습(9번 실습- 결과보고서)소 속담당 교수수업 시간편 성학 번성 명설계실습 9. ... 전자전기공학부에서 많이 쓰이는 회로이므로 중요하다.2.설계실습내용 및 분석4.2 (LPF) 가변저항을 설계한 저항으로 조절하라. ... LPF와 HPF 설계요약 : RC, RL 회로를 이용하여 LPF와 HPF를 설계하고 실험하였다. R은 약 1kΩ, C는 10.2nF, L은10mH이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.09.04
  • 전자회로설계실습 실습3(Voltage Regulator 설계) 결과보고서
    설계실습 3. Voltage Regulator 설계요약회로를 구성할 때 중요한 역할을 하는 DC Power supply에 관해 실험을 하였다. ... 설계실습 결과(A) 구현 : 전해콘덴서는 미리 단락해서 방전시킨 후에 충전방향을 예상해서 극성에 맞게 연결하여 위의 회로를 구성한다(이때 실습계획서에서 설계한 값을 사용한다). ... 설계 목표와 비교하여 오차를 분석하고 오차의 이유를 기술한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.09.07 | 수정일 2020.09.15
  • 전자회로실험1 예비보고서 BJT 바이어스 회로설계
    분배 바이어스 회로는 가장 안정된 회의로서 실제로도 많이 사용되는 회로이다. ... (1) 고정 바이어스회로고정 바이어스 회로에서는 입력 전류 IB와 출력전류 IC는 R1과 R2를 사용해 옴의 법칙을 이용하면 쉽게 구할 수 있다.(2) 이미터 안정화 바이어스 회로고정 ... 바이어스 회로에서 이미터측에 저항을 하나 추가하여 동작점의 안정성을 더한 것이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대