• 통큰쿠폰이벤트-통합
  • 통합검색(241)
  • 리포트(236)
  • 자기소개서(4)
  • 논문(1)

"testbench" 검색결과 201-220 / 241건

  • 논리설계실험 chap02 가산기설계
    .- Testbench 를 직접 작성하여 Simulator로 입, 출력 signal을 확인Problem Statement4-bit Full adder/subtractor Cont`d ... ("0000"), ci, m- 출력 : s("0000"), co▶ std_logic_vector (3 downto 0)를 이용하여 쉽게 4자리의 signal 선언 할 수있다.- Testbench를 ... 직접 작성하여 다음과 같은 Simulation 값을 얻는다.▶ Testbench에서 input value를 정하여 ModelSim Simulator를 통해 signal을 구한다.Implementation
    리포트 | 8페이지 | 1,000원 | 등록일 2008.10.26
  • KIT를 이용한 ALU
    testbench 같은 것은 없고 simulator를 돌리지 않기 때문에 따로 test는 해보지 못하였다. 6) Provide the simulation result and the
    리포트 | 17페이지 | 2,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • Vhdl을 이용한 8x1 MUX 설계
    출력 동작을 8x1 MUX에 matching 시킨다.testbench 소스파일을 통해 설계한 논리회로가 정상적으로 동작하는지 확인해 본다.3. ... 를 갖는다. 8x1 MUX까지 설계가 완료되면, testbench 파일을 작성하여 입력에 따른 출력이 정상인지 확인해본다.② Describe how do you solve the problem.위 ... Dependencies:---- Revision:-- Revision 0.01 - File Created-- Additional Comments:---- Notes:-- This testbench
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • 전전컴설계실험2-10주차 결과
    인가버튼을 눌렀을 때 7 segment에 숫자가 표시되면서 Piezo에서 해당하는 소리를 냄7Segment With Piezo Verilog Code7Segment With Piezo TestBench
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-9주차결과
    counter 결과값 1)11100000->11011000 Downcount(3)PreLab3Moor Machine code1Moor Machine code2Moor Machine code3Testbench
    리포트 | 18페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 32비트 가감산기 SystemVerilog 소스 코드
    package my_type ; typedef enum bit { add=1'b0, sub=1'b1} op_e ;endpackageimport my_type::* ;module addsub32_2s(cout, s, a, b, cin, op); output [31:0]..
    리포트 | 2,000원 | 등록일 2013.03.18
  • 논리회로 프로젝트 보고서
    설계실행 및 평가(test bench)- Testbench를 작성하여 설계한 multiplier 검증- 시뮬레이션 결과 (Simulation 결과 캡쳐 화면 & 결과에 대한 설명)7
    리포트 | 14페이지 | 3,000원 | 등록일 2012.12.23 | 수정일 2013.11.25
  • UP-DOWN COUNTER(4-bit) 설계
    또한 dout이 0까지 down 되면 fin_down을 1로 알려줌.2) Testbench Source① reset, up, down의 작동 Testbench. ... 다시 load=0이 되면 count시작.③ 0-F-0으로 up에서 down으로 바로 갈 때의 ovf, udf 작동 Testbench// Time을 1ns의 단위와 1ps의 정확도로 ... down되고, 다시 F으로 돌아오면서 udf가 1을 표시.4. ud=d으로 바뀐 시점부터 up되어 F까지 오면, 다시 0으로 돌아오면서 ovf가 1을 표시.② en, load의 작동 Testbench
    리포트 | 5페이지 | 1,500원 | 등록일 2008.09.03
  • vhdl을 이용한 RAM 설계
    조건으로는 주어진 entity 및 testbench를 사용하며, clock 주기는 10ns이며 testbench input의 초기값은 0이다.② Describe how do you
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • verilog로 짠 mips 다중사이클 데이터패스 명령어추가
    Testbench Code (testbench1.v)->clk 주기를 짧게 잡은 이유는 #10 정도로 딜레이를 잡으면 동작 시간이 길어져서 결과값이 나오기 전에 시뮬레이션이 종료되기
    리포트 | 12페이지 | 3,000원 | 등록일 2011.10.13
  • Verilog HDL 소스코드
    y1,y2,y3;and u0(y0,x2,x1,x0);nand u1(y1,x2,x1,x0);or u2(y2,x2,x1,x0);nor u3(y3,x2,x1,x0);endmodule- TestBench ... input x1,x2;output y0,y1,y2;assign y0=(x2&x1)|(x2&x1);assign y1=x2^x1;assign y2=~(x2^x1);endmodule- TestBench
    리포트 | 4페이지 | 3,000원 | 등록일 2009.04.29
  • vhdl을 이용한 4비트 가감산기 설계(논리회로설계실험)
    그 후 component 명령어를 사용하여 앞서 설계한 전가산기를 4비트 감가산기 코드에 component 하였다. 4비트 감가산기 설계 이후 testbench 코드를 이용하여
    리포트 | 9페이지 | 2,000원 | 등록일 2009.11.12
  • HDL 파일
    Dependencies:---- Revision:-- Revision 0.01 - File Created-- Additional Comments:---- Notes:-- This testbench ... that these types always be used for the top-level I/O of a design in order-- to guarantee that the testbench ... Dependencies:---- Revision:-- Revision 0.01 - File Created-- Additional Comments:---- Notes:-- This testbench
    리포트 | 7페이지 | 1,000원 | 등록일 2008.12.22
  • VHDL-Pre lab - Error detection and correction (에러 검색 및 수정 코드)
    Lecture 10Error correctionand detection==================Contents===============Pre Report-----------------------------------------------1. 실험 목적2. 실험..
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • 논리회로설계실험 프로젝트 - vhdl을 이용한 ATM기 설계
    잔액은 설계 과정에서 임의의 금액을 거래하는 동작을 보여주지 못하므로, 정해진 금액을 testbench를 통해 보여주기 때문에 RAM에 미리 잔액을 입력하고, testbench에서
    리포트 | 20페이지 | 3,000원 | 등록일 2009.06.24
  • (vhdl소스)and or not latch mux 플립플롭 인코더
    )and(input2=`1`))then output
    리포트 | 16페이지 | 2,000원 | 등록일 2008.11.23
  • UART-직렬 통신 _Verilog
    1. 송신부 Code`timescale 1 ns / 1 psmodule Uart_trans (TxD, Txrdy, wr, din, clk1);input wr,clk1;reg Tsign, TxD, TxClk, Txrdy, inc;output TxD,Txrdy;input[..
    리포트 | 8페이지 | 1,500원 | 등록일 2011.05.23
  • 논리회로 설계실험 memory 설계
    do)로 낸다.en이 High이고 we가 High이면 프로그램은 쓰기 모드가 되어 입력데이터(di)로 들어온 데이터를 주소 값에 쓰게 된다.clock 주기는 10 ns 로 설정하며 testbench
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • (디지털시스템설계)VHDL Full Adder
    디지털시스템설계- Full adder (전가산기) -담 당 교 수 님교수님소 속조( 조)제 출 일 자2010.학 번 성 명1. 카노 맵을 이용하여 간략화 된 출력함수를 각 출력 bit에 대하여 구하시오.xyc_in*************10111c_out = (x · ..
    리포트 | 8페이지 | 1,000원 | 등록일 2010.12.01
  • VHDL을 이용한 엘리베이터 설계
    따라서 문이 열린 상태는 2개의 클럭 펄스동안 유지된다.⑤ Testbench - 설계한 코드를 시뮬레이션하여 동작을 검증하기 위해 테스트 벤치를 작성해야 한다.
    리포트 | 6페이지 | 4,000원 | 등록일 2011.07.05 | 수정일 2014.10.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대