• 통큰쿠폰이벤트-통합
  • 통합검색(532)
  • 리포트(505)
  • 시험자료(25)
  • 자기소개서(1)
  • 방송통신대(1)

"shift 레지스터" 검색결과 201-220 / 532건

  • fpga란
    이는 연결된 배선의 차이에 따라 나뉜 것인데 SLICEM은 LUT를 logic이외에 RAM이나 shift register로 사용이 가능하고 SLICEL은 오직 logic하나로만 사용이 ... CPLD는 상대적으로 적은 수의 동기 레지스터를 제공하는 곱의 합(SOP) 논리 어레이로 구성된 제한적인 구조이다. ... 디지털 회로 설계HW#3목차fpga란?
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • [컴퓨터구조론][컴퓨터 구조 및 설계]3장 연습문제
    right연산을 해야하나 이미 Multiplier가 0이므로 앞으로 Product에 27번의 shift right연산을 해주면 된다. ... 표에 표시된 빨간색 0의 경우가 모든 shift right 연산을 한 후의 마지막 0이므로 빨간색 0을 기준으로 연산 결과를 표시하면0110 1110 0100{} _{(2)} 가 된다 ... 00100110 1110 | 0100 00002: Shift right Product0000 00000110 00100011 0111 | 0010 0000지금 문제에서는 32번의 shift
    리포트 | 3페이지 | 1,000원 | 등록일 2016.04.20
  • 아주대 논회실 논리회로실험 실험7 예비보고서
    쉬프팅 관찰실험 2 - 5bit shift right register1. SER, PE : 02. CLR :0->13.A,B,C,D,E,PE : 14. ... 실험 과정 및 예상 결과실험 1 - 6bit shift right register1. PR1,2 : 1, SERIAL DATA : 02. CLR : 0->13. ... .- 74HC96의 Shift Register의 동작 원리와 특성을 이해한다.- 플립플롭을 이용하여 레지스터를 구성하고 레지스터의 동작 특성을 확인한다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 논리회로실험 9주차 예비보고서
    목적- register와 shift register, ring counter, johnson counter의 의미와 특징을 이해한다.- register와 shift register, ... 또 데이터의 이동 방향에 따라 시프트 레지스터는 우측 이동(shift-right), 좌측 이동(shift-left), 양방향성(bi-directional)의 세 가지로 구분되며 직렬 ... 시프트레지스터의 소자는 R-S 플립플롭의 R-S특성 또는 J-K 플립플롭의 J-K특성을 이용한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • [0327예비] 시프트레지스터
    목적순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터 (shift register), 링 카운터 (ring counter), 존슨 카운터 (Johnson counter), 의사 ... 그 밖에도 병렬 입력 직렬 출력 시프트 레지스터와 병렬 입력 병렬 출력 시프트 레지스터가 있다.또 데이터의 이동방향에 따라 시프트 레지스터는 우측 이동 (shift-right), 좌측 ... 이동 (shift-left), 양방향성 (bi-directional)의 세 가지로 구분되며, 그림 1의 (a)나 (b)는 클럭 펄스 CLK가 들어올 때마다 데이터가 오른쪽으로 한
    리포트 | 6페이지 | 1,000원 | 등록일 2014.05.15
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 5-예비,결과 보고서
    shift register의 동작 모드는 2개이며, 이를 제어하는 입력은 Load Shift이다. ... Load Shift = 1이면 parallel load 입력이 shift register로 load된다. ... parallel load 기능이 있는 4-bit shift register예비보고서를 작성할 땐 위와 같이 schematic을 설계하여 simulation까지 원하는 결과를 얻었다
    리포트 | 13페이지 | 1,000원 | 등록일 2009.01.25
  • 쉬프트 레지스터와 카운터
    관련이론- 레지스터시프트 레지스터(shift register)는 Hyperlink "https://ko.wikipedia.org/wiki/%EB%94%94%EC%A7%80%ED%84% ... 실험목표- 레지스터(Register)의 용도와 쉬프트(Shift) 레지스터의 입력과 저장 형태에 맞게 설계할 수 있고 이를 응용할 수 있다.- 쉬프트 카운터, 링(Ring) 카운터와 ... 데이터를 레지스터에 기록하기 위해서, 쓰기/시프트 제어신호는 반드시 0으로 고정되어야 한다. 데이터를 이동하기 위해서, W/S 제어 줄은 1 이고 레지스터는 클럭이 입력된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2016.04.12
  • GPS개론 cross-correlation
    (shift) 된다. ... 여러분이 shift되었다고 판단한 코드는 정확하게 몇 bit가 shift 되었는지 결정하라. ... 둘 중 하나는 1에서 만들어지는 코드를 shift 한 것이라면 어느 것이 shift된 것인가?
    리포트 | 7페이지 | 2,000원 | 등록일 2013.11.16
  • 논리회로실험) Register / Shift register 결과
    결 과 보 고 서9 주차실험 8 : register / shift register1. ... 동작을 확인한다.1 ) Quartus II 구동 후 shift register 회로를 구성한다.[ 사진 ] Shift register 회로 구현- Input : shift_in , ... 실험 과정- 본 실험의 목적은 Register와 shift register, ring counter를 이론적으로 먼저 이해하고, 그것을 Quartus II을 이용하여 회로를 구현하고
    리포트 | 11페이지 | 2,000원 | 등록일 2014.01.06
  • 쉬프트 레지스터 예비보고서
    양방향 이동(Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라 ... 우 쉬프트 레지스터플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터를 우쉬프트 레지스터(right shift register)라 한다. ... 좌 쉬프트 레지스터레지스터의 최하위자리(LSB)에 입력을 넣어 플립플롭에 기억된 정보를 첨자 윗자리로 이동시키는 좌 쉬프트 레지스터(left shift register)는 우 쉬프트
    리포트 | 10페이지 | 1,000원 | 등록일 2014.06.03
  • [결과]실험7. Shift Register
    J-K Flip-Flop을 이용하여 6BIT shifting register를 구성해보는 실험이었다. n개의 bit를 나타내는 shifing register를 구현하기 위해서는 n개의 ... 가끔씩은 shifting이 되기는 하지만 shifting 되는 순서가 바뀔 때도 있었고 다이오드에 모두 출력이 나타날 때도 있어서 정확한 결과를 얻기까지 많은 노력이 필요했던 실험이다 ... Shift Right Circulating shift Register사진번호Q_AQ_BQ_CQ_DQ_E①10000②01000③00100④00010⑤00001①②③④⑤- 실험3에서는
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 서강대학교 디지털논리회로실험 6주차결과
    그러므로 이 범위 안의 clk을 입력 하지 않는다면 이 소자는 정상 작동 하지 않는다.4. 4비트 shift register, serial-in parallel-out shift register ... serial-out shift register를 구현 하였다. f/f을 사용하였기 때문에 clk값을 주어야 하는데, 자동 클럭을 사용하지 않고 DIP S/W에 clk을 연결하여 직접 ... 또는 Parallel-in serial-out shift register를 FPGA로 구현하여 동작을 확인하여라.우리 조의 경우 D filpflop을 사용하여 4bit parallel-in
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.02
  • 아주대 논회실 논리회로실험 실험7 결과보고서
    Circulating shift Register를 구성하여 일반 Shift Register와의 차이를 확인하였다. ... -Shift Right Circulating shift Register위 회로를 브레드보드에 구현한 결과실험 결과가 예비보고서의 실험 예상과 일치한다. ... -실험 이론- Shift Register매 클록 주기로 모든 비트를 한 자리 옮기게 하는 레지스터이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • ARM Instruction Simulation 설계 (ARMISS 설계)
    Data Processing이나 LDR, STM같은 레지스터의 값을 연산할때 PC만 특별히 오른쪽으로 8bit shift 시켜주고 4를 나누어 저장하고, 다른 레지스터로 저장할 때는 ... 이와 반대로 왼쪽으로 8bit shift시켜주고 4를 곱하여서 연산하도록 하였다. - Instruction Format Check 현재 PC가 가리키고 있는 Instruction의 ... 여기서 PC는 1씩 증가시키는데, 이것은 편법을 써서 레지스터 출력시에만 4를 곱하여서 출력이 되도록 하였다.
    리포트 | 14페이지 | 1,500원 | 등록일 2013.12.12 | 수정일 2013.12.15
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    디멀티플렉서의 구성도와 진리표는 다음과 같다.산술논리연산장치(ALU: Arithmetic logic unit)산술논리연산장치는 산술연산, 논리연산 및 시프트(shift)를 수행하는 ... 중앙처리장치 내부의 회로 장치로, 독립적으로 데이터 처리를 수행하지 못하며 반드시 레지스터들과 조합하여 처리한다. 4비트 ALU를 예로 들어본다. 4비트 ALU의 구성도는 다음과 같다ALU는 ... MUX의 구성도는 다음과 같다.여기서 선택입력 S1, S0의 조합에 따라 출력 Y로 나타나는 입력이 결정 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 디지털실험 예비 #3
    datasheet을 찾아서 작동 방법을 설명하시오.74194는 4-bit shift register이다. 74164와는 다른 점은 저장 가능한 bit 개수도 있지만, 74194는 ... register이다. ... 카운터와 시프트 레지스터디지털 실험이명진 교수님금(09:00 ~ 13:00)2007122043 김병주Due date - 2012.03.23.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 06 논리회로설계실험 예비보고서(순차회로)
    레지스터(parallel register)와 시프트 레지스터(shift register)로나뉘는데, 병렬 레지스터는 2진정보의 저장을 위해 사용되어 저장 레지스터라고도 한다.시프트 ... 플립플롭은 1bit의 정보를 저장 할 수 있으므로, n-bit register는n bit의 정보를 저장하는 n개의 플립플롭으로 이루어진 그룹을 말한다.레지스터는 동작 방법에 따라 병렬 ... 기억 및 귀환 요소가 있어 플립플롭과 유사하지만 clock 입력이 없어 비동기식 순서논리회로이다.종류는 S-R래치와 D래치가 있다.- SR 래치S(set) 및 R(reset)으로 된
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 아주대학교 논리회로실험 실험7 예비보고서
    이러한 회로를 시프트 레지스터 카운터(shift-register counter)라 부른다. ... 비교해본다.ShiftPulseL0L1L2L3L4011000101100200110300011410001511000601100700110ⅲ) Shift Right Circulating shift ... 첫 번째 사진은 직렬-입력, 직렬-출력(serial-in serial-out) 시프트 레지스터의 구조를 보여 주고 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 마이크로프로세서 결과보고서 2장 - LED ONOFF 해보기
    산술논리 연산장치. addition, subtraction, shift, logical operation을 수행한다.ADDLW(add literal and w)ADDLW k (C, ... 특히 Special register 영역의 내용과 Dump window 영역의 내용에 주목합시다.PCL은 각 명령어를 수행시킬 때마다 1씩 증가하다가 INCF PORTA,F 문장에서 ... DC, Z)W 레지스터의 내용과 8비트의 정수 k를 더하여, 결과를 W REG.에 되돌린다.ADDWF (add w and f)ADDWF f,d (C, DC, Z)W REG.
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 결과 Shift Register
    입력에 0을 넣어주기 때문에 shifting이 일어나는 LED의 뒤쪽으로는 추가적인 점등이 있지 않았다. ... 또한 순환 구조를 가지지 않아 모든 비트의 shifting이 이루어진 뒤에는 펄스가 입력되어도 모든 LED가 off상태로 남아있었다. ... 실험1. 6bit right shift registerSetting: 전압 공급기를 통하여V _{cc} =5V 인가0 LEQ Clk LEQ 5VOffset V : 2.5Vfrequency
    리포트 | 3페이지 | 2,000원 | 등록일 2013.12.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대