• 통큰쿠폰이벤트-통합
  • 통합검색(532)
  • 리포트(505)
  • 시험자료(25)
  • 자기소개서(1)
  • 방송통신대(1)

"shift 레지스터" 검색결과 181-200 / 532건

  • 일상생활에서 사용하는 센서소개
    에너지로 발생된 전하를 축적 후 전송하고 최종단에서 전하가 전기신호로 증폭되어 전환되는 방식으로, 전하가 축적되는 photo effective 영역과 축전된 전하를 차례대로 전송하는 shift ... register 영역으로 크게 구분된다. ... 기계식 센서는 기본적으로 질량(mass)-스프링(spring)-댐퍼(damper)방식으로, mass의 위치 변화를 통해 가속도를 계산하는 방식이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2019.09.11 | 수정일 2019.09.15
  • [Flowrian] 4 Bit Shift Register (TTL 7495)의 Verilog 설계 및 시뮬레이션 검증
    CP2의 하강에지에 동기되어 외부에서 제공되는 4비트 데이터를 입력 받아 레지스터에 로드하는 동작이다.* 입력 S='0' 이면 클럭 CP1의 하강에지에 동기되어 레지스터에 저장된 ... - TTL 7495 회로는 4 비트의 데이터를 입력받아 한쪽 방향으로 시프트 시키는 레지스터 이다.- TTL 7495 회로는 아래 기능표와 같이 동작한다.* 입력 S='1' 이면 클럭
    리포트 | 7페이지 | 1,000원 | 등록일 2014.05.28
  • [컴기설2 보고서] Shifter & Counter
    값을 그대로 출력)- Load : 입력된 data를 출력- LSL : Logical shift left를 수행- LSR : Logical shift right를 수행- ASR : ... Arithmetic shift rle(cc_logic에서 instance)ASR88-bit arithmetic shift right module(cc_logic에서 instance) ... shift rightd_asr8-bitarithmetic shift right8-bit loadable up/down counter설계할 8-bit loadable up/down counter는
    리포트 | 18페이지 | 1,500원 | 등록일 2015.03.17
  • 11장 MSI / LSI 순차 회로 Shift Register/Counter
    일시적으로 모아두는 일종의 기억소자이다.일반적으로 레지스터는 외부에서 들어오는 데이터(1,0)을 저장 또는 이동(shift)시키는 목적으로 사용된다...PAGE:41. ... 클럭 펄스가 다음 상태로의 이동을 제어하는 방식이다...PAGE:5( 4비트 직렬입력/직렬출력 시프트 레지스터 )..PAGE:6우측 Shift Register좌측 Shift Register ... Shift Register비동기 계수기Count-up 리플 계수기Count-down 리플 계수기동기 상향 계수기승/강 계수기..PAGE:3관련 이론레지스터 (Resister)데이터를
    리포트 | 19페이지 | 1,000원 | 등록일 2009.11.18 | 수정일 2016.12.05
  • 실험5시프트레지스터-정보
    실험 목적① 시프트레지스터(shift register)의 기본원리를 이해한다.② 각종 시프트레지스터의 구성방법과 용도를 파악한다.③ 플립플롭의 응용능력을 향상시킨다.④ 링카운터 존슨카운터의 ... 순환쉬프트레지스터이다(a) SIPO 시프트레지스터4. ... 시프트 레지스터1.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.12.10
  • 10. Shift Register 와 Shift Counter - 복사본
    PSpice모의실험(1)Shift RegisterQ. 4bit shift register를 구성한다. ... 출력이 ‘1’이 되고 나머지 flip-flop의 출력이 ‘0’이 되는 circular shift register이다. ... 기록한 파형을 표로 작성하여 shift register가 동작하고 있음을 확인한다.(2) Shift Counter①측정문제1Q.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.26
  • 실험(1) Shift Register 예비보고서
    shift register)그림 선형 되먹임 시프트 레지스터선형 되먹임 시프트 레지스터(Linear feedback shift register, 줄여서 LFSR)는 입력비트가 이전 ... 목적순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터(shift register), 링 카운터(ring counter), 존슨 카운터(Johnson counter), 의사 불규칙 ... 제목 : Shift Register1.
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.17
  • 논문작성의이론 논문요약 영문아티클 요약
    with professional nursing organizations;745 registered nurses completed the survey between February ... selected from nurses working at different grades, shifts and with diverse workloads.Job levels were ... including age, gender, ethnicity, years of experience,education (degree), type of healthcare organization, shift
    리포트 | 4페이지 | 3,000원 | 등록일 2018.02.24 | 수정일 2020.04.13
  • 연산회로 예비보고서
    그림 4⒜에서와 같이 시프트 레지스터 두 개에 각각A`,``B를 넣어LSB가 오른쪽 끝에 오도록 하고 전가산기의 합과 자리올림을 저장할 레지스터(sum register)와 플립플롭( ... )에 의한 방법 등이 있다.2.7 이진 곱셈계산과 승산기이진 곱셈계산은 덧셈과 자리이동(shift)의 동작을 반복함으로써 실현할 수 있다.예를 들어 1001과 1011의 곱셈 과정을 ... .1001×1011=1001×(1+10+000+1000)=1001+1001×10+1001×000+1001×1000=1001+1001(SR)+1001(SR)^3이 때SR은 우측 이동(shift
    리포트 | 3페이지 | 1,000원 | 등록일 2015.12.20
  • 논리회로실험 2014 Flip Flop
    register를 설계한다.shift register 논리회로는 비동기 reset을 갖는다. ... register는 3가지의 shift를 선택할 수 있는 회로를 설계하는 것이다.. ... (3 downto 0); --shift register의 내부 신호 signal 선언beginq
    리포트 | 10페이지 | 1,000원 | 등록일 2014.11.05
  • 실험7예비 Shift Register
    microoperation으로 표현되기도 한다. register에 0을 채우기 위해 실행하는 logical shift, circular shift, arithmetic shift가 ... 이번 실험에서 수행할 shift mechanism은 arithmetic shift이다. ... 다른 두 방식의 shift mechanism과 어떤 차이가 있는지 확인한다.- 병렬비트로 동작하는 인터페이스를 직렬비트에 대응시킬 때 shift를 시킨다.- 간단한 delay 회로를
    리포트 | 7페이지 | 2,000원 | 등록일 2014.05.13
  • [디지털시스템][VHDL} Design 4-bit Right Shift Resister 설계
    이번 실습에서는 4개의 flip-flop이 연결된 '4-bit Right Shift Register'를 설계한다. ... testbench source를 작성할 수 있어야 한다. 2. ... 이것이 제대로 작동이 된다면 다시 "11001010"이 출력될 것이다. register의 초기값은 "XXXX"으로 되어있다.
    리포트 | 11페이지 | 2,000원 | 등록일 2014.05.07
  • 실험17 쉬프트 레지스터 예비보고서
    양방향 이동 (Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라 ... 한다.(1) 우 쉬프트 레지스터플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터를 우쉬프트 레지스터(right shift register)라 한다. ... 최하위자리(LSB)에 입력을 넣어 플립플롭에 기억된 정보를 첨자 윗자리로 이동시키는 좌 쉬프트 레지스터(left shift register)는 우 쉬프트 레지스터와 동일한 방법으로
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • Shift Register Design (VHDL)
    클럭 마다 Data를 받아서 Temp에 차례로 입력 시키게 될 것이다. shift register는 input과 output의 기능이 동시에 존재해야 하기 때문에 buffer로 설정하였으나 ... Shift Register Design◆ Shift register- 쉬프트 레지스터는 보통 메모리같이 사용할 수 있도록 원하는 개수의 flip flop 등을 이어서 레지스터로 사용할 ... 할 때, 10개의 flip flop을 이어서 쉬프트 레지스터를 만들어 놓으면, 10 클럭 전의 정보를 확인 할 수 있게 된다.- 위의 예시 쉬프트 레지스터를 vhdl로 만든다면,각
    리포트 | 1페이지 | 1,000원 | 등록일 2008.12.26
  • 디지털실험 12예비 쉬프트 레지스터
    양방향 이동(Bidirectional)으로 분류한다.또한 쉬프트레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift ... 우 쉬프트레지스터플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터를 우쉬프트 레지스터(right shift register)라 한다. ... 좌 쉬프트레지스터레지스터의 최하위자리(LSB)에 입력을 넣어 플립플롭에 기억된 정보를 첨자 윗자리로 이동시키는 좌 쉬프트 레지스터(left shift register)는 우 쉬프트
    리포트 | 9페이지 | 1,000원 | 등록일 2014.09.30
  • 디지털 시스템 실험 7-Segment 결과보고서
    즉 Line decoder가 한 bit씩 right shift되는 것으로 생각할 수 있다. 이는 입력 값이 B3~B0의 입장에서 보면 한 bit씩 left shift되는 것이다. ... 따라서 구성요소들의 이러한 배치를 통해 shift-add 3 algorithm을 구현할 수 있는 것이다. ... 이를 간단히 always@ 구문을 이용하여 구현하였는데, register out에 들어가는 값은 순서대로 a, b, c, d, e, f, g번 LED를 의미한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2016.04.08
  • 어셈블리와 C를 이용한 LED점등
    어셈블리 코드/** LED_shift.asm** Created: 2015-10-06 ?? ... ) of PORTC to Output Mode */PORTC = led;DDRD = 0x00; /* Set DDRC(Data Dircetion Control Register) of ... led;delay_ms(5); /* 5msec delay */}void main(void){DDRC = 0xff; /* Set DDRC(Data Dircetion Control Register
    리포트 | 8페이지 | 1,000원 | 등록일 2016.10.06
  • 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    여기서 mode의 입력에 따른 3개의 shift register(Circular, Logical, Arithmetic shifter)를 설계한다. ... register를 설계한다. ... Asynchronous reset, Signal vs. variable의 개념을 이해하고 shift를 설계한다. shift의 종류를 살펴보면 Circular shifter, Logical
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • 순차회로 설계 결과보고서
    그대로 출력시키는 D 플립플롭을 설계하였다.- 8bit shift register를 구현하기 위해 D F/F을 component를 이용하여 불러내어 8개의 D F/F을 신호 Q를 ... 이용하여 연결시켰다.- 이론상 8bit shift register는 8개의 D F/F을 지난 후에 결과가 출력되므로 input이 입력된 후 rising edge의 clock이 8번 ... VHDL 코딩(1) 소스 코드 - D F/FD F/F8bit shift register- reset에 1이 입력되면 출력은 항상 0이고, rising edge의 clock에서 입력값을
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • 아주대 논회실 실험7 결과보고서
    74HC00과 74HC76 이용하여, 6bit shift Right Register를 만든다. ... 74HC96을 이용하여, 5bit shift Right Register를 만든다. ... Right Circulating shift Register1) 실험과정 및 결과?
    리포트 | 4페이지 | 1,500원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대