• 통큰쿠폰이벤트-통합
  • 통합검색(6,300)
  • 리포트(5,387)
  • 자기소개서(636)
  • 시험자료(133)
  • 논문(76)
  • 방송통신대(41)
  • 서식(17)
  • 이력서(5)
  • ppt테마(3)
  • 기업보고서(1)
  • 노하우(1)

"모터 제어" 검색결과 201-220 / 6,300건

  • LabVIEW를 이용한 DC모터 제어
    실험 목표* Labview와 Elvis를 사용하여 DC모터의 동작을 제어한다. (모터의 RPM 측정)* 평균 RPM을 측정한다. ... 또한 데이터 수집, GPIB, 시리얼계측기 제어, 데이터 해석, 데이터 표현 및 데이터 저장 등을 위한 라이브러리도 포함하고 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2007.10.05
  • 기계공학응용실험 모터 제어 실험 예비보고서
    한다.②모터의 종류와 특성상용되는 모터의 종류와 구동방법 및 특성에 대해 서술하시오.종류사진종류와 구동방법 및 특성DC 모터※ DC 모터의 특성 : C 모터는 큰 기동토크, 입력전압의 ... 이렇게 해서 브러시리스 모터는 회전이 계속되는 것이다.인덕션모터※인덕션 모터의 원리는 AC 모터의 일종으로 스테이터부에 발생하는 회전자계 와 로터부에 발생하는 유도자계와의 상호작용 ... 예를들면 하드디스크 드라이브나 플로피디스크 드라이브, CD-R O M 드라이브, 비디오덱의 드럼모터나 스핀들모터 등이다.※ 브러시리스 모터의 구조 그림1 0⒜는브러시리스모터의구조도와구동회로를표현한것이다.N-S극
    리포트 | 5페이지 | 1,000원 | 등록일 2012.01.10
  • 국민대학교 자동차융합실험I - 6. PWM을 이용한 스텝모터 제어
    . - PWM 주파수와 모터 속도간의 관계위 표를 바탕으로 본다면 주파수가 증가하는 동안 모터 속도는 증가한다. 고로 두 값은 비례 관계이다. ... -로터리 엔코더(Rotary Encoder) : 모터와 비슷한 모양으로 생긴 엔코더라는 센서를 회전물체 중심에 따라 회전하게 한다. ... 주파수는 위와 같이 늘어나며, 모터 속도는 전에 값에 약 133.33%,250%만큼 증가한다.5. 여러 가지 RPM측정 센서가 있다.
    리포트 | 4페이지 | 3,000원 | 등록일 2015.03.23
  • 초음파 측정기를 이용한 선풍기 모터(DC) 제어
    향후엔 MFC와 연동하여 납땜할때 사용할 수 있을 뿐 아니라 이제 여름이 다가오는데 가정에서 컴퓨터를 하거나 책을 읽을 때도 간편하게 선풍기로 사용할 수 있도록 GUI를 통한 속도제어
    리포트 | 19페이지 | 3,500원 | 등록일 2011.06.15 | 수정일 2023.02.07
  • 전자회로실험II - 실험 9. DC 모터 속도 제어 및 측정 제 3~4주 예비보고서
    DC 모터 속도 제어 및 측정조4조제 3~4주. DC모터 개루프 속도 제어/측정기 설계 및 제작1. ... 실험 목적(1) 주어진 규격 및 제한사항을 만족하는 개루프 DC 모터 속도 제어기와 측정기를 설계하고 제작한다.2. ... )DC 모터 속도 제어 해상도 : 1 rps 이하DC 모터 측정 해상도 : 1rps 이상제한사항 : 주어진 부품 사용555 타이머, SG-207(포토 인터럽트) 7447, 7490
    리포트 | 2페이지 | 2,000원 | 등록일 2017.04.02
  • Servo motor - 위치 제어계의 과도특성
    실험 제목 - Servo motor - 위치 제어계의 과도특성3-2. ... 그리고 모터제어출력인 Po 의 회전접점 출력을 오실로스코프의 수직축에 가하면 시간에 대한 계의 응답을 직시할 수 있다. ... 위치제어 과도특성 실험 구성도*실험 2.4-1. 실험 제목 - Servo motor - 불안정 위치 제어계화 안정화4-2.
    리포트 | 6페이지 | 1,000원 | 등록일 2009.08.10
  • 자동제어실험2 (결과레포트) - 모터테스트, LED테스트
    실험제목: 모터테스트, LED테스트3. ... 실험목적:(1) 주어진 랩뷰 예제를 보고 똑같은 동작을 하도록 프로그래밍 해본다.(2) MIMO와 Pendulum이 이 프로그램을 통해서 어떻게 제어될지 생각해보자.4. ... 결과 및 분석1) 모터테스트Frontpanel기본블럭다이어그램먼저 기본프로그램을 설명하면 기준값 설정버튼을 누르면 그 순간의 슬라이드값이 저장되도록 설렉트문을 만들었고 입력횟수를 구하기
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.28
  • AVR ( External Interrupt 이용한 Step motor 제어)
    실험 제목 - AVR ( External Interrupt 이용한 Step motor 제어)3-2. ... 연습 문제(1)모터가 무한히 회전을 하면서, 외부 인터럽트가 걸리면, 회전 방향을 바꾸도록 하는 프로그램을 작성하라.
    리포트 | 9페이지 | 1,000원 | 등록일 2009.08.10
  • labview프로그램을 통해 모터제어하여 나사를 운반 시키는 장치
    대표적으로 빔이 정지 했을 때 생기는 진동에 대한 진동억제 설계, 좀 더 빠르고 정확한 모터제어를 위한 PID제어, Photocoupler나 Hex-inverter 등의 전자 부품과 ... (그림1)또한 이러한 과정을 위해 컴퓨터와 연결된 PCI카드인 SCC-68에서 엔코더의 정보수집, 모터의 각도 조절, 전자석의 전류 제어를 Labview에서 신호를 입력받게 되고 이과정에서 ... 이런 로봇 중에서도 회전운동을 취하면서 나사체결이나 납땜을 하는 로봇들은 회전 및 정지 시에 상당히 정확한 위치제어가 요구 된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2010.05.08
  • AVR ( Timer Interrupt를 이용한 Step motor 제어)
    실험 제목 - AVR ( Timer Interrupt를 이용한 Step motor 제어)3-2. ... 실험 제목 - AVR ( Timer Interrupt를 이용한 Step motor 제어)3-2. ... 정수형 U16 변수 선언.typedef unsigned char u08; //문자형 U08변수 선언.u08 phase[4] ={0x09, 0x05, 0x06, 0x0a};// 2상 제어방식.int
    리포트 | 7페이지 | 1,000원 | 등록일 2009.08.10
  • labview프로그램을 통해 모터제어하여 나사를 운반 시키는 장치
    ..PAGE:1기계공학종합설계Ⅱ-회전운동에서 잔류운동을 고려한 볼트 이동 장치 설계-신 치 윤, 장 현 정양 영 철, 이 준 균..PAGE:2목 차1. 필요성 및 목적2. 사례 조사 및 연구3. 공학적 지식4. 설계 개념5. 비용 산정6. 수정 사항· 빔의 재질 및 길..
    리포트 | 19페이지 | 3,000원 | 등록일 2010.05.08
  • [기계공학응용실험] DC 서보 모터 제어 실험
    서보 모터브러시 모터 (Brushed Motor)브러시리스 모터 (Brushless Motor)제어구조가 간단하고 쉽다제어구조가 복잡하고 어렵다단상으로 제어한다3상을 제어한다회전 ... 프로그램제어제어해야할 모터에 특성 및 제어 방법을 알기 위해서는 모터의 구성 및 특성을 정확히 숙지해야 한다.2 실험 내용 및 이론적 배경2.1 Faraday 의 법칙1830년경 ... 1 실험 목적이번 장에서는 첫 번째 다양한 모터와 센서들의 유기적인 조합으로 동작하는 구동체를 제어하고 운영함으로써 로봇의 구동 및 응용을 매뉴얼제어와 프로그래밍 제어를 통하여 실습을
    리포트 | 5페이지 | 1,000원 | 등록일 2011.01.22
  • 자동제어 개론 - 모터 실험
    < 자동제어 실험 : 모터제어 >1. 제목 : 기본적인 폐회로 속도 제어2. ... 목적- 모터의 속도 제어를 통해 Feedback이 있는 경우와 없는 경우의 동작 제어 원리를 알고, 동작을 확인 한다.3. ... 제목 : 폐회로 위치 제어계2. 목적- 모터의 위치 제어를 통해 Feedback의 작용과, Feedback의 증폭 이득에 따른 불감대의 영향을 확인 한다3.
    리포트 | 10페이지 | 1,500원 | 등록일 2008.06.23 | 수정일 2019.04.12
  • 논리회로설계실험 스텝모터 제어기의 설계
    ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity motor2 ... :integer range 0 to 25000;signal phase_lclk : std_logic;signal motor_rcnt : integer range 0 to 25000 ... _rot;architecture RoV_Lab of motor2_rot issignal key_in_l : std_logic_vector (1 downto 0);signal key_in_r
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 모터위치 제어시스템 모델링 보고서
    자 동 제 어모터위치 제어시스템 모델링 보고서1. 서론12. 이론적 배경13. ... 이론적 배경모터의 재원모터로 구동되는 레이다의 간략한 모델은 다음 그림과 같이 간략하게 생각할 수 있다.= 모터의 양단에 가해진 전압 [](제어입력 변수)= 모터의 등가 직류저항 [ ... 고찰08년도 2학기 자동제어 설계 과제로는 3,4장에서 학습한 블록 선도, 치차열, 전위차계, 등을 이용한 Coreless 모터 위치 제어 시스템 모델링이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2009.05.26
  • 자동제어실험 motor Control 결과보고서
    Motor(DC, Servo, Stepping Motor) Control 실험 결과 보고서DC Motor실험 목표- ELVIS를 이용하여 PWM 신호를 만들어 모터를 회전 시킨다.- ... 입력 펄스를 변화시키면서 모터의 위치를 측정한다.지금까지 실험했던 모터들과 달리 Stepping motor는 컨트롤러가 필요했다. ... 위의 세가지 실험을 진행하면서 Motor Control 에 대하여 이론적 뿐 아니라 실제적으로 알게 되었고 지금까지 접해왔던 DC모터와 다를 Servo모터, Stepping모터를 control
    리포트 | 11페이지 | 1,500원 | 등록일 2010.03.20
  • 모터위치 제어시스템 모델링 보고서
    모터위치 제어시스템 모델링 보고서1. 서론12. 이론적 배경13. ... 이론적 배경모터의 재원모터로 구동되는 레이다의 간략한 모델은 다음 그림과 같이 간략하게 생각할 수 있다.= 모터의 양단에 가해진 전압 [](제어입력 변수)= 모터의 등가 직류저항 [ ... 조립 과정- 모터위치제어 실습장치 조립 설명 -① 바닥 부분 조립과정바닥 부품은 지지용 원기둥, 바닥, M5ⅹ10 나사로 이루어진다.
    리포트 | 14페이지 | 2,000원 | 등록일 2009.06.12
  • DC 모터 PID digital controller로 제어 예비레포트
    한 바퀴를 회전하는 경우는 총 32개의 count가 증가한다.③Counter 2motor 제어가 시작되면 내부 clock과 PID controller에서 받은 data를 통해 적절한 ... System① 층수에 따른 모터의 위치 결정Position (in degrees) = (Floor -1) * 45°Floor = 1,2,3,…,8② 엔코더 출력 clock과 Position과의 ... 5ms마다 인터럽트가 발생하고 이때마다 프로그램 상의 인터럽트 처리 루틴에서 다음에 알아볼 PID Controller의 결과값을 가지고 Counter2를 이용하여 PWM 신호를 발생시켜 모터
    리포트 | 5페이지 | 3,000원 | 등록일 2010.06.02
  • Servo motor-모터의 응답특성,기본적인 폐회로 속도제어
    이상적인 회전자 제어 모터(모터에 의해 발생되는 회전력에 비해 브러쉬가 마찰 등이 무시될 수 있는)의 경우 모터 속도는 입력의 계단변화에 지수 적으로 반응한다. ... 실험 제목 - Servo motor-모터의 응답특성3-2. 실험 목적1)모터의 과도 응답 특성에 대하여 알아본다.2)부하의 크기에 따른 과도 응답 특성을 알아본다.3-3. ... 실험 제목 - Servo motor-모터의 응답특성3-2. 실험 목적1)모터의 과도 응답 특성에 대하여 알아본다.2)부하의 크기에 따른 과도 응답 특성을 알아본다.3-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.08.10
  • [자동제어] Stepping motor (스텝핑 모터) 관련 정리 자료
    이 때문에 펄스 모터 혹은 스텝 모터라고도 불리며, 위치 결정 제어용에 사용되고 있다. ... 정지 등의 동작이 정확, 신속하게 행해지는 이점을 가지고 있다.㉢ 위치결정제어위치결정 제어에 DC 모터 등 서보 모터를 적용하는 경우, 어떤 각도 위치에 회전자를 유지시켜 두기 위해서는 ... 그 회로는 다른 서보 모터제어회로와 비교하면 상당히 간단하고 단순하다.㉡ 디지털 기기와의 적합성Stepping motor는 마이컴 등의 응용된 디지털 기기와의 조합이 극히 용이하고
    리포트 | 11페이지 | 1,000원 | 등록일 2009.02.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대