• 통큰쿠폰이벤트-통합

논리회로설계실험 - 디코더/엔코더 예비레포트

아샷샷추
개인인증판매자스토어
최초 등록일
2021.10.09
최종 저작일
2019.06
9페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 실험목표
2. 예비이론
3. 실험내용
4. 출처

본문내용

1. 실험 목표
디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다. 또한 7segment와 bcd코드의 원리에 대해서 알아본다.

2. 예비 이론
(1) 디코더
디코더는 또 다른 공통적으로 사용되는 유형의 집적 회로이다. 이진수 디코더는 부호화된 2진수 정수를 부호화되기 전으로 되돌리는 조합논리회로이다. 디코더는 2진수로 된 n개의 입력 신호를 최대 가지 출력 신호로 바꿔준다. 디코더는 n개의 입력선을 통해 전달된 신호를 하나의 숫자로 간주하여 입력된 숫자에 해당되는 하나의 출력선에 1이라는 신호를 전달한다. 출력은 의 형태로 나타난다. 여기서 는 n개의 입력의 minterm을 뜻하고, i는 0부터 까지의 정수이다. n 입력 디코더는 n 개의 변수의 모든 minterms을 생성하기 때문에, n변수 함수들은 디코더로부터의 선택된 최소 출력들을 함께 OR함으로써 실현 될 수있다. 디코더 출력이 반전되는 경우, NAND 게이트를 사용하여 함수를 호출한다. 아래의 디코더 그림(왼쪽)은 3x8 디코더에서의 8개의 출력을 논리식으로 나타내고 있다. 이를 통해 디코더는 여러 개의 인버터(NOT 게이트)와 AND 게이트로 구성되어 있음을 알 수 있다.(4to 10 decoder 그림참조) 어떤 디코더들은 개보다 적은 수의 출력을 생성하는데, 이는 적어도 하나의 입력 신호에 대한 출력이 다른 입력 신호에 대한 출력과 겹치는 경우이다. 그렇다면 디코더는 어디에서 사용될까? 신호를 디지털 부호로 코드화해서 기억하거나 전송할 때 코드화된 신호를 원래 형태로 되돌리는 회로·유니트. 디지털 신호를 아날로그 신호로 되돌리는 경우에 D/A 컨버터가 디코더에 해당한다. 또 비밀 대화 장치(스크램블러)를 사용한 전화 등에서 다른 형식으로 변형시켜 보내온 음성 신호를 원래의 형태로 되돌리는 것도 디코더이다.

참고 자료

Fundamentals of Logic Design(6th edition) p.256~259
https://en.wikipedia.org/wiki/Binary_decoder
https://ko.wikipedia.org/wiki/%EB%B6%80%ED%98%B8%ED%99%94
http://terms.naver.com/entry.nhn?docId=1185670&cid=40942&categoryId=32382
http://terms.naver.com/entry.nhn?docId=820306&cid=42344&categoryId=42344
https://yeob07.blog.me/221286414362
https://ko.wikipedia.org/wiki/7%EC%84%B8%EA%B7%B8%EB%A8%BC%ED%8A%B8_%ED%91
%9C%EC%8B%9C_%EC%9E%A5%EC%B9%98
Fundamentals of Logic Design (Chales.H.Roth)(p21-p23)
https://master-hun.tistory.com/48
Digital Systems Design using VHDL (charles.h.roth) p208-209
http://princess.kongju.ac.kr/digitalmain/dvlec/textbook/chap05/digital05_2.htm
아이캠퍼스 자료 [디코더, 엔코더]
아샷샷추
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • [기계공학]도립진자(결과) 10페이지
    이 또한 진리표를 만들어 논리회로를 그려서 설계할 수 있다.(4) IC를 ... PID 특성※ 게인값에 따른 선도 변화 형태는 예비레포트에 첨부하였습니다. ... 엔코더(1) 역할엔코더(encoder)는 디코더(decoder)의 역연산을
  • [논리회로] 디코더(Decorder) 및 엔코더(Encorder) 14페이지
    리포트 작성 시 이해가 잘 안되던 디코더와 엔코더가 교수님의 설명과 실험으로 ... {실험 6디코더(Decorder) 및 엔코더(Encorder)1. ... 엔코더(Encoder), 부호기엔코더디코더의 역연산을 수행하는데 2n개
최근 본 자료더보기
탑툰 이벤트
논리회로설계실험 - 디코더/엔코더 예비레포트
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대