• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL를 활용한 EE ATM 설계하기

*경*
최초 등록일
2013.08.11
최종 저작일
2012.12
20페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다. 한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.

소개글

서강대학교 전자공학과 디지털논리회로실험에서 A+ 받은 자료입니다.
실제 ATM을 기준으로 주로 이용하는 ATM service(입금, 출금, 잔액조회)를 똑같이 구현하고, 이에 덧붙여 ATM이용에 있어 편리함을 더할 수 있는 T-money 충전기능을 추가함으로써, 기존 ATM에 좀 더 편리성을 더하여 차별화를 둘 것이다.

목차

1. 서 론

2. 본 론
(1)합성
(2)분석
(3)제작

3. 결 론
(1)시험
(2)평가
(3)결과도출

4. 참고 문헌

5. 프로젝트 후기

# 부록 #

본문내용

요즘은 무인시스템이 대세이다. 무인경보기, 무인객실관리기, 지하철 무인 매표기, CCTV등 사람의 인력을 대신하여 무인시스템이 할 수 있는 일들이 점점 늘어나고 있다. 이 무인시스템이 만들어지려면 전자공학의 디지털 논리 설계가 꼭 들어가야 하는데, 이는 시스템 설계에 매우 중요한 부분을 차지한다. 그래서 우리는 무인시스템에 디지털 논리설계를 인용해 보고자 ATM(Automatic Teller Machine)을 설계하고자 한다. 이를 통해 디지털 회로 설계의 실생활에서의 활용을 경험해보고 개선사항을 제시함으로써 한 단계 더 발전한 ATM을 구현해 볼 계획이다.

<중 략>

①입금
위의 state diagram에서 알 수 있듯이 초기 메뉴(S0)에서 text led로 "welcome to EEATM PLESE CARD IN"이라는 메시지가 뜨면서 LED가 깜빡거리고 DOT MATRIX에는 EEBK라는 글자가 출력된다. 이 상태는 CARD가 빠져있는 상태로 DIP_SW0인 CARD를 집어 넣으면(DIP_SW0=1일때) 메뉴 표시 STATE(S1)로 넘어가게 된다.
메뉴선택 STATE로 넘어가면 1.IN.CH 2.OUT.CH 3.INQ.CH 4.CHR.T 이렇게 4가지 메뉴가 TEXT-LCD에 출력된다. 입금을 할 것이기 때문에 PSW버튼 1번을 눌러다가 땔 때 비밀번호 입력 STATE(S2)로 이동하도록 설계하였다. PSW버튼을 땔 때 이동하도록 설계한 이유는 STATE 자체가 10MHZ의 매우 큰 주파수에 의해 작동하기 때문에 다음 비밀번호 입력 STATE에 영향을 줄 수 있다. 따라서 누른 버튼이 때 질때 다음 STATE로 넘어가도록 설계하였다. 이는 모든 STATE에 적용된 방법이다.

<중 략>

프로젝트를 진행하면서 아이디어 선정부터 매우 힘들었다. 실험을 진행하면서 digital kit의 모든 기능들을 배우고 수행해 온 것도 아닐뿐더러 schemetic, VHDL coding또한 익숙하지 않아 어떤 주제를 정해야 할지, 이것이 구현가능할지 많은 고민을 하였다. 고민 끝에 ATM을 구현하기로 결정하였고, 구현방법은 VHDL을 통해 구현하기로 결정하게 되었다.(실험을 진행하는 동안 우리는 주로 schemetic을 사용하여 상대적으로 더 익숙했으나 많이 사용해 봤기 때문에 debuging이 어렵다는 것 또한 느꼈기 때문에 익숙하지 않지만 VHDL을 선택하게 되었다. 이 때문에 ATM을 구현하기 위한 VHDL함수부터 공부하기 시작하였고, ATM의 기능들과 단계별 작동 mechanism을 연구하였다.

참고 자료

임석구, <디지털 논리회로>, 한빛미디어, 2009년
http://www.alldatasheet.com
서강대학교 전자공학과, 디지털 논리회로 실험 manual, lab1~9, 휴인스 튜토리얼
VHDL 프로그래밍 바이블 , 한동일 , 생능출판사, 2011
VHDL을 이용한 FPGA 디지털 설계(실습부터 응용까지), 노승환 , 한빛미디어 , 2009
논리회로도와 VHDL를 이용한 디지털 시스템 설계, 양오, 내하출판사, 2011.08.31

자료후기(1)

*경*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
VHDL를 활용한 EE ATM 설계하기 무료자료보기
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 16일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:57 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기