• 통큰쿠폰이벤트-통합

VHDL의 기초 및 문법

*오*
최초 등록일
2010.09.27
최종 저작일
2010.09
13페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL의 여러가지 표현 및 문법
직접 작성한 자료입니다.

목차

1.1 VHDL에 대하여
1.2 VHDL의 회로 설계 구조
1.3 VHDL의 어휘 요소와 구문
1.4 VHDL에서의 객체, 데이터형, 그리고 연산자
2.1 동작적 표현
2.2 데이터 플로우 표현
2.3 구조적 표현
2.4 Package와 Subprogram

본문내용

1.1 VHDL에 대하여
HDL과 PLD의 발전으로 디지털 시스템 개발의 변화를 주도하고 있다. HDL은 크게 VHDL과 verlog HDL이 널리 사용되고 있으며 PLD소자로는 소용량의 PAL, GAL에서 대용량의 GPLD, FPGA로 사용이 변화되고 있다.
VHDL은 디지털 시스템의 기능과 하드웨어적 특징을 알고리즘에서 게이트 레벨에 이르기까지 여러 레벨에서 디지털 시스템을 설계할 수 있도록 설계되었다. 이는 계층적으로 기술될 수 있으며 타이밍도 명시적으로 모델링 될 수 있는 장점이 있다.
VHDL은 병렬적 수행과 순차적 수행을 표현할 수 있다. 그리고 같은 기능의 중복 설계를 피하기 위하여 이미 설계된 부품을 컴포넌트로 선언하고 이를 연결함으로서 큰 디지털 시스템도 설계할 수 있고 시스템을 종합적으로 기술할 수 있으며 시뮬레이터를 이용하여 설계된 디지털 회로가 올바르게 기술되었는지 검증할 수 있다.
VHDL이 여러 HDL 중에서도 가장 광범위하게 사용되는 이유는
첫 번째, 정부와 업체의 지원을 받고 있다.
두 번째, 우수한 모델링 능력
세 번째, 특정 반도체 업체의 특정 기술이나 공정에 무관하게 사용할 수 있고 설계 과정의 여러 단 계에서 다양한 설계 도구가 사용될 수 있다. 또한 회로의 문서화가 용이하다.

1.2 VHDL의 회로 설계 구조
▶ 엔티티(Entity) - 가장 기본적인 회로 설계 단위로 entity 선언부, architecture body로 나누어 진다.
> 엔티티 선언(entity declaration)
- 인터페이스 포트를 통한 입출력 신호를 선언하며 이는 하드웨어 블록에 대한 입출력관점의 외부적 측면을 나타낸다.
> 아키텍처 몸체(architecture body)
- 하드웨어의 내부적 측면을 나타내며 동작과 메모리맵표현의 기술방법에 따라 동작적표현

참고 자료

없음
*오*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트 12페이지
    현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 ... : 시뮬레이션의 현재 시간을 나타낸다. [5]3.Verilog HDL 문법 ... 표현한다.게이트 프리미티브 : 말 그대로 게이트의 원시(primitive), 기초
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트 7페이지
    HDL의 문법과 의미는 소프트웨어 프로그래밍 언어와 달리 하드웨어의 주요한 ... 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 ... 표현한다.게이트 프리미티브 : 말 그대로 게이트의 원시(primitive), 기초
  • [기초전자회로실험1] "Verilog HDL을 이용한 로직게이트 설계 FPGA를 통한 검증 (NAND2, NOR2, XOR2)" 예비보고서 7페이지
    VHDL(VHSIC Hardware Description Language ... NOR2, XOR2)자료는 실제 실험을 바탕으로 작성되었으며,보고서 평가 A+기초전자회로실험1 ... 시뮬레이션하기 위해 사용한다.Verilog HDL의 연산자는 다음과 같은 문법으로
  • 경희대학교 논리회로 레포트 3페이지
    패션에서는 어패럴 컴퓨터 시스템의 일환으로 디자인화에 기초한 옷본 제작이나 ... HDL의 문법과 의미는 소프트웨어 프로그래밍 언어와 달리 하드웨어의 주요한 ... Lava, Lola, MyHDL, PALASM, RHDL, 베릴로그, VHDL등이
  • 순서회로 25페이지
    학기 초에 기초적인 VHDL 문법부터 배우기 시작했는데 이렇게 학기 말에 ... 관련 기술 이론D 플립플롭의 구조진리표1) 상태그래프3. ... 설계배경 목표1) D - FlipFlop 하나의 입력 단자가 있고 클록
더보기
최근 본 자료더보기
유니스터디 이벤트
VHDL의 기초 및 문법
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대