• 통큰쿠폰이벤트-통합

Post lab - BCD to Excess3 code converter !! (A+리포트 보장)

*영*
개인인증판매자스토어
최초 등록일
2009.06.29
최종 저작일
2008.11
14페이지/ MS 워드
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

A+ 받은 레포트 입니다
안심하고 다운 하시기 바랍니다^^ Post 결과 부분 사진 자료 다있음

목차

Pre Report
1. 실험 목적
2. 실험 이론지식 VHDL 이란 무엇인가.
2-1> VHDL
2-2> VHDL의 기본 숙지사항
2-2> VHDL의 설계단위 정리
3. 실험 이론지식 BCD code / Excess-3 code 의 정의
4. 실험 이론 지식 Mealy machine for the serial code converter
5. <<Assignment 1>> BCD-to Excess-3 code converter on Spartan B/D

1) Project 생성후 New Source Wizard 설정
2) VHDL coding 후 SAVE 및 Syntax check
3) Assign Package Pins 에서 pin 할당
4) Synthesize – XST (논리소자 합성하기) 와 Implementatiom Design 수행
5) Test Bench 수행하여 VHDL 코드 작성
6) Behavioral simulation(Functional simulation) 과 Timing simulation 수행
7) bit 파일 생성 및 에뮬레이션

6. <Assignment 2> BCD-to Excess-3 bit serial code converter

Post Report
8. 실험 결과
1. Specify the simulation results (for assignments 1 and 2) obtained in the class in detail.
2. Specify the experimental results (for assignments 1 and 2) obtained in the class in detail.
3. Analyze and comment the VHDL codes and the results
(1) Describe the final VHDL codes in detail
(2) 오류 발생 원인과 code 분석 및 새롭게 알 게 된 점.

본문내용

Post Report
1. Specify the simulation results (for assignments 1 and 2) obtained in the class in detail.
Assignment 1
우리가 이미 알고 있는 VHDL 코드를 이용해서, 실험시에 Function simulation 과 Timing simulation을 Pre report와 비교해 보았다.

pre report Functional simulation 구현 결과

실험시 Functional simulation 구현 결과


3. Analyze and comment the VHDL codes and the results
(1) Describe the final VHDL codes in detail
If any revision is made for the VHDL codes, indicate the reasonsfor the revision clearly.
If the results are different from the expected ones, express thereasons explicitly and reasonably.

< 설계실험시 최종 Behavioral >
library IEEE; --ieee 라이브러리 선언
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity clkbcd2excess is -- clkbcd2excess 라는 이름을 가진 entity 선언부의 시작
Port ( x, rst, clk : in STD_LOGIC; z : out STD_LOGIC);
-- prelab과는 다르게 리셋을 뜻하는 rst가 추가 . Reset값이 1이 되면 이때부터 입력되는 4bit의 값을 저장하여 이것에 0011이 더해진 결과가 출력될 수 있도록 하는 역할이다.
end clkbcd2excess; -- clkbcd2excess 라는 이름을 가진 entity 선언부의 끝
architecture behavioral of clkbcd2excess is -- clkbcd2excess 는 entity name, Table은 architecture name
signal state, nextstate: integer:=0;
중략..

참고 자료

없음
*영*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
Post lab - BCD to Excess3 code converter !! (A+리포트 보장)
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대