• 통큰쿠폰이벤트-통합
  • 통합검색(681)
  • 리포트(647)
  • 시험자료(21)
  • 자기소개서(5)
  • 논문(4)
  • 서식(2)
  • 방송통신대(2)

"encoder회로" 검색결과 1-20 / 681건

  • (디지털 회로실험)8421 Encoder의 논리회로 설계
    디지털실험설계 01.실험제목 : 8421 Encoder의 논리회로 설계Ⅰ 설계과정Encoder의 기능을 익히고, 부호변환 회로의 설계방법을 익힌다.조건 : 디지털 논리소자를 이용하여 ... 종류는 2 x 1 인코더, 4 x 2 인코더, 8 x 3 인코더 등이 있다.[10진 BCD ENCODER ? ... 회로에서는 오직 신호의 ON과 OFF만을 갖고 논리를 결정짓기 때문에 2진수의 개념을 도입하면 회로를 통해 2진 연산이 가능해진다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 논리회로설계실험 5주차 Encoder 설계
    이러한 과정에서 논리회로설계에서 중요한 Encoder에 대해 더욱 깊게 이해할 수 있었다. ... 올바르게 작동하는지 검증하였다.2) Theoretical Approach(이론)4:2 Priority Encoder4:2 Encoder는 기본적으로 하나의 input만이 true인 ... 강의시간에 다룬 4:2 encoder의 modeling 방법을 참고하여 구현하였다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • [전자회로] Pspice (Decoder&Encoder) 실험 레포트
    .- 다음 회로는 2선-4선 decoder 회로로서 2진수 입력 A와 B의 4가지 조합에 대하여 구분되는 4개의 출력으로 변화하는 회로이다.◆ Encoder- 상태 또는 명령들을 이진수의 ... (decoder의 반대 기능)- 다음 회로는 4개의 입력을 이진수 출력으로 변환하는 회로이다.2. GATE3. 결과4. 고찰?
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.30
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 1
    디지털회로실험및설계 예비 보고서 #8( Encoder, Decoder 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과실험 1) Encoder 74LS148의 동작 이해※ 실험 1 회로도 ※※ 실험 1 초깃값 ※※ 실험1 초깃값 이유- 실험1의 회로도에서 초깃값은 ... 실험목표① 인코더의 회로 구성과 동작을 실험한다.② 디코더의 회로 구성과 동작을 실험한다.2. 관련이론?
    리포트 | 12페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 2
    디지털회로실험및설계 결과 보고서 #8( Encoder, Decoder 실험 )과 목담당교수제 출 일학 번이 름1. 회로도2. ... 실험에서 사용한 74LS148은, 우선순위를 가지는 Encoder로서, 입력 1, 2, 3, 4가 있다고 가정하고, 4부터 우선순위가 높다고 가정할 때, 나머지의 전압 레벨 (0 또는 ... 실험결과 및 이론분석실험 (1) 1.회로의 초기값에 대하여 알아보고 그 이유를 설명하시오.2. 74LS148의 입력에 따라 FND의 출력을 확인하고, 그 이유에 대하여 설명하시오.※
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • [논리회로실험] Decoder & Encoder 예비보고서
    Decoder & Encoder1. 실험목적1) 디코딩과 인코딩의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 참고네이버 지식백과wikipedia논리회로 강의노트 ... 생성함- Decoding의 역과정- 활용예시로는 압축이 있음ex) 8x3 Encoder Truth tableD _{7}D _{6}D _{5}D _{4}D _{3}D _{2}D _{
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • [논리회로실험] 실험5. Decoder&Encoder 결과보고서
    고찰이번 실험에서는 Decoder와 Encoder의 특성을 공부해보고 이를 회로로 구성하여 이론값과 실험값을 비교해보았다. ... Decoder & Encoder1. ... 실험은 Decoder에 관련된 실험 2개 중 첫 번째를 실행했고 Encoder 또한 실험 2개 중 첫 번째를 실행하면서 결과적으로 실험 1, 3번만을 확인했다.실험 1의 경우 2x4
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.28
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 응용 실험(1) [그림 2]의 부호기 회로에 두 개 이상의 입력이 1인 경우 중 한 가지를 골라 어떤 일이 일어나는지 실험해보시오.이번 실험은 부호기 회로의 특성을 이용하여 여러 개의 ... 입력값이 ‘0111’이 되어 출력값은 숫자 7이 나왔다.부호기 회로의 특성을 이용하여 한 개의 스위치가 연결 되지 않은 상태의 회로에서 여러 개의 입력이 1일 경우에 대해, 출력되는
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    Encoder 회로도 및 진리표그림 3-3의 인코더 회로에서 진리표를 보면 입력신호가 D0, D1, D2, D3의 4개이다. ... 디지털 논리회로 설계 및 실험예비보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 또한 신호 x가 active-low 신호일 경우rm bar x와 같이 바(bar) 기호를 신호이름 위에 붙여서 나타내기도 한다.)(2)Encoder인코더(encoder)는 디코더의
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 서강대학교 디지털논리회로실험 3주차 - Decoders and Encoders
    이 간단 하지만 큰 실수를 통해 회로 실험에서는 하나하나 꼼꼼히 실험 환경을 준비해야 한다는 것 을 알 수 있었다.
    리포트 | 16페이지 | 1,500원 | 등록일 2024.08.17
  • 논리회로실험 A+예비보고서 5 Decoder & Encoder
    -데이터 입력원(Input source)을 선택하는 응용에 자주 사용되며, 아날로그 먹스와 디지털 먹스가 있다.2) 인코더(Encoder)-디지털 전자회로에서 어떤 부호계열의 신호를 ... 실험 목적-Decoding과 Encoding의 코드 변환 동작에 관해 실험할 수 있다.-Decoder와 Encoder의 동작원리를 이해할 수 있다.2. ... 실험 이론1) 디코더(Decoder)-신호를 디지털 부호로 코드화해서 기억하거나 전송할 때, 코드화된 신호를 원래 형태로 되돌리는 회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.09
  • 논리회로실험 A+결과보고서 5 Decoder & Encoder
    1. 실험 과정 및 결과 실험 1) 2X4 Decoder이번 실험은 4개의 AND gate와 2개의 NOT gate를 1개의 74HC04(NOT gate)칩과 1개의 74HC08칩(2-input AND gate)을 이용하여 2x4 Decoder를 구성하고 입력에 따른 ..
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.09
  • 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    회로 결선도- 실험1(2x4 Decoder), 실험2(BCD to Decimal Decoder)- 실험3(Encoder Excess-3 code), 실험4(8x3 Priority Encoder ... 하는 논리회로로 10진수나 8진수의 입력을 받아 BCD, Excess-3와 같은 코드로 변환해주는 논리회로로 일반적으로 출력의 개수는 입력의 개수보다 작다. ... 양쪽의 핀이 7개씩 총 14개가 존재하고, 7번 핀과 14핀은 회로를 동작시키기 위한 전원이 들어간다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    디지털논리회로실험결과 보고서[3주차]실험 3. Decoders and Encoders1. ... 동작 확인A. 74LS148을 이용하여 prioriy encoder의 동작 확인74LS148을 이용하여 [그림 12]와 같이 회로를 구성한다. ... 실제 회로는 [그림 13]과 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    디지털논리회로실험예비 보고서[3주차]실험 3. Decoders and Encoders1. ... [그림 14]2) 74LS1488-to-3 priority encoder의 기능을 하는 소자이다. ... [그림 21][그림 22]4) 과정 4 : Priority encoder 의 동작 확인VHDL 코드는 다음과 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설계및실험, Decoder & Encoder 실험
    회로를 그릴 수 있다. ... 반대로 2진수에서 10진수로 바꾼 다음 사람이 읽을 수 있도록 하는 건 디코더의 역할이다.3-1) 4x2 encoder4x2 encoder은 의 진리표를 참고하여 ... 인코더를 회로에서 사용되는 이유는 인코더는 어떤 정보를 암호화 시키는 역할을 한다.
    리포트 | 8페이지 | 2,500원 | 등록일 2024.05.21
  • [논리회로설계실험]Decoder와 Encoder설계
    and (not x(1)) and (not x(2)) and EN; -- 단순히 입력에 대한 출력을 지정해 주는 것이 아니라 converter와 and_gate를 이용한 동작을 위해 회로도의
    리포트 | 7페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로실험. 실험 5. Decoder & Encoder
    이를 수정합니다.실험 4. 8X3 Priority Encoder1. ... 또한 이번실험에서 Priority Encoder 실험만 살펴보더라도 8비트의 코드를 3비트 코드로 그 용량을 크게 줄일 수 있는 효과를 얻을 수 있다.즉 기존 부호체계 코드를 다른 ... 예비보고서의 결선도와 실제 회로 비교- 74HC08에는 4개의 AND 게이트가 있어 74HC08 1개와 74HC04 1개를 사용해 회로를 구성했다.- 맨 좌측 빨간색선은D _{1},
    리포트 | 11페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • 논리회로실험 결과보고서5 Decoder & Encoder
    실험은 74HC148를 이용하여8×3 Priority Encoder 회로를 구성해 보는 것이었다. ... Encoding/Excess-3 코드이 실험은 74HC20과 74HC04를 이용하여 Excess-3 코드를 출력하는 회로를 구성하는 것이었다. ... 그 결과는 예비보고서에서 예상했던 것과 일치하였고, 회로 결선도 또한 실제 회로 구성과 같았다. Truth table은 다음과 같다.Part 2.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대