• 통큰쿠폰이벤트-통합
  • 통합검색(245)
  • 리포트(220)
  • 시험자료(13)
  • 방송통신대(10)
  • 자기소개서(1)
  • 이력서(1)

"checker" 검색결과 1-20 / 245건

  • [Inside reading 2] Unit 9 – Reading 1_A game of checkers
    그것은 모두 실수였지만 아메리카 미국 남쪽 캘리포니아의 Vorick 가족에게는 위 로가 되지 못했다. 2005년 케이블 TV 채널에서 뉴스 아나운서는 Los Angeles 지역 의 식료품 가게 주인은 테러리스트였고 그는 La Habra 도시의 어떤 주소에서 살았 다고 ..
    리포트 | 2페이지 | 1,500원 | 등록일 2016.08.05
  • [회로실험] Parity checker
    Parity checker, Traffic Light첫번째 실험은 멀티 플렉서를 이용해 Parity maker를 설계해 보고 에러의 발생 여부를 알아보는 실험이고 두번째 실험은 신호등 ... 달아줌으로써 반대의 값 0,1,E.1.1.1.1.0이 두줄씩 잘라서 보면 진리표와 같다는 것을 알 수 있다.XOR 만을 사용하여 실험[1] 에서와 같은 기능을 하는 parity checker ... 비트이면 2n 개의 입력 중 하나를 선택할 수 있고, 이것을 간단히 라고 한다.예비질문Mux 와 Demux 그리고 Encoder, Decoder 의 개념을 간단히 비교 설명하시오. ( ch9
    리포트 | 18페이지 | 3,000원 | 등록일 2005.09.25
  • [asic] parity checker, error checker
    문제 설명VHDL 프로그램을 사용하여 짝수 parity 발생기를 통해 나온 출력을 발신하면 수신측 에서 그러한 신호의 오류여부를 판별하는 parity checker 프로그램을 구조적 ... )송신측에서 parity 비트를 생성하는 회로를 parity generator라고 하며, 수신측에서 패러티를 검사하여 오류가 있는지를 검출하는 회로를 parity checker라고 ... 전송된 데이터의 '1'의 값이 홀수(odd)이면 오류가 발생함을 감지하는 회로이다.even parity 발생기의 8bit 데이터의 오류를 감지하는 parity checker 진리표는
    리포트 | 5페이지 | 1,000원 | 등록일 2003.03.28
  • 서강대학교 디지털논리회로실험 레포트 4주차
    (힌트: parity checker로 사용되는 74x280의 datasheet에 있는 내부 구성도를 참조)-이번 실험에서 설계한 parity circuit은 직렬로 XOR gate를 ... 그림 13을 구현한 회로도STEP10) DIP_SW2를 HIGH로 하고 DIP_SW0, DIP_SW1의 조작을 통해 표4의 출력의 좌측 column을 완성하였다. ... 그림 13의 진리표STEP11) DIP_SW2를 LOW로 하고 위 과정을 반복하여 표 4의 출력의 우측 column에 기록한다-역시 기록하였다.STEP12) 이 과정을 통해 확인한
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 카타르 CV양식, 팁 (실제 합격CV)
    Became a youngest team leaderLOTTE CINEMA (Seoul, South Korea) - Ticket checker? ... Provided customer service?checked ticket? ... High schoolSeoul, KoreaMar 2000-Jun 2000
    자기소개서 | 1페이지 | 5,000원 | 등록일 2022.06.01
  • 부경대 전자공학과 디지털시스템설계 기말1(패리티 검사기)
    ;architecture design of parity_checker issignal parity: std_logic_vector(n downto 0); ... 검사기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity parity_checker ... std_logic_vector(n-1 downto 0);result : out std_logic; b : out std_logic_vector(n downto 0 ));end parity_checker
    리포트 | 4페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 중앙대 마이크로프로세서 응용회로설계실습 9주차 CLCD
    main.c 에서 checker부분과 inputter == true 를 확인해본다.c. ... 반드시 week9 경로에서 실행할 것.main.c checker에서 argc>3 일때 entry를 DDRAM의 0x4f에서 할당하여 작동하도록 하였다.4. main.c에서 keypad_out ... clcd.c 에서 clcd_write_string을 보면 for문 str[i]!=0 을 통해 문자열에서 NUL 값을 제외한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.07.04
  • Rodac plate를 이용한 균 검출 실험
    Hand checker kit를 이용한 황색포도상구균 검출 실험-실험날짜 :2015.10.28-실험재료 :Hand checker kit, 물티슈-실험방법1)손을 물티슈 2장으로 깨끗이 ... 닦은 후 Hand checker kit에 손을 올리고 손바닥 전체가 모두 배지에 닿을 수 있도록 손가락 끝을 하나하나 눌러준다.2)배지의 뚜껑을 닫고 37°C에서 24시간 배양한다 ... Rodac plate(EMB배지)의 뚜껑을 열고 시료로 선택한 핸드폰 액정이 배지의 모든 부분에 골고루 묻도록 배지를 액정에 대고 수직으로 살짝 누른다.2)배지뚜껑을 닫고 37°C에서
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.07
  • [A+] 중앙대 마이크로프로세서 응용회로설계실습 7주차 결과보고서
    main.c의 checker() 함수에서 if( argc
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.07
  • [중앙대학교 ] 전자전기공학부 마이크로프로세서 응용회로설계실습 결과레포트7
    () -> 교재와 동일② checker함수3. ... 소스코드, Makefile 수정1) main.c(상수 및 함수원형은 헤더파일 clcd.h에 선언한다.)① main(~), mapper(), unmapper(), emergency_closer ... /xim_clcd 1 2018#### 이니셜”을 입력한 결과, clcd에 학번은 첫째 줄에 왼쪽에서 오른쪽으로, 영어 이니셜은 오른쪽부터 왼쪽으로 쓰여진 결과를 확인할 수 있다.2.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.04.30
  • [중앙대 마이크로프로세서 응용회로 설계실습] 7주차 결과 보고서 CLCD제어(7주차)
    F=0선택과제main.c의 checker()함수에서 커서가 증가모드이고 두 번째 줄을 나타내는 코드의 clcd_set_DDRAM에 “0x50-strlen(argv[3])”을 넣게 ... -DB0~DB7은 우리가 사용할 X-Hyper320TKU의 8핀과 모두 연결되어 있으므로 DL=1-우리가 사용할 clcd는 16문자*2행이므로 N=1-각 문자 칸은 5*8로 구성되므로
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.24
  • 제대로번역한 Mosaic2 Reading (6th edition) Chap5-2. Grisha Has Arrived
    She would put on her blue-checkered apron and seem instantly rejuvenated. ... Their shabby, sooty kitchen suddenly became bright and cheerful. ... expected in the apartment on Novosibirskaya Street, Babushka made some pirozhki filled with meat and cabbage
    리포트 | 12페이지 | 5,000원 | 등록일 2021.04.12 | 수정일 2021.04.21
  • zimmer uni UKA 부분치환술 수술과정 정형외과수술 uni knee arthroplasty
    , roneur)3. extension gap checker block (10mm)1. distal femoral condyle resectiondistal cutting guide ... 룰러 넣고 cement 굳힘.injection 트리넥삼 6@ + N/S = 50ccHemovac 400 insertion + white silk 1-0→ Muscle&fascia : ... ). vicry2.0.2-0.3-0. strip. #20, #10 blade. disposable s-tip. 50cc syringe(2). 18g disposable needle(
    리포트 | 6페이지 | 5,900원 | 등록일 2023.02.18
  • 토익스피킹 레벨 7 합격노트(2주)
    He is wearing a b and r checkered/striped shirt. 5 학생들 중, 그는 질문하기 위해 손을 들고 있다. he is raising his hand ... They are focusing on the presentation. 7 그녀는 손에 턱을 괴고 메뉴를 보고 있다. ~ at the menu, resting her chin on her
    시험자료 | 7페이지 | 1,500원 | 등록일 2019.10.21
  • 치기공사, 치위생사, 학생들을 위한 치아교합학 발표자료
    trial 3)Over impression과 교합체득 4)biscuit back triar과 교합조정교합조정임상에서 교합조정 1)높은 곳 조정 교합 필름 2)Bite checker ... 커다란 주융선이 근 원심적 사면에서 contact관계를 부여 하기쉽고 closure stpper.equalizer의 관계가 되기 쉽다적절한 위치에 occlusal contact이 ... contact은 functional room을 향해 내측 사면에 부여 한다.19-B contact 은 근원심적으로 완만한 구면상에 존재 한다 (협설적으로 안장을 위해 B-contact은
    리포트 | 20페이지 | 2,500원 | 등록일 2024.03.08
  • [도서요약] The Only Grant-Writing Book You'll Ever Need 4장~6장
    Buy a dictionary and thesaurus while you’re at itA spell checker on your computer can be helpful, but ... , region, and country. ... The chapter offers very practical advice.1. non-for-profit organizationThe author recommends categorizing
    리포트 | 6페이지 | 2,500원 | 등록일 2024.08.13
  • 암세포 특징
    1. carcinogenesis를 정의하고, 3단계를 설명해 주세요.- 세포는 성장(Growth), 분화(Differentiation), 프로그램된 죽음(Apoptosis)의 과정을 ... 대표적인 물질로 1967년 헤커(Hecker) 등에 의해 규명된 TPA(12-0-tetradecanoylphorbol-13 acetate)를 들 수 있습니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.11.20
  • 재활의학과 의학용어
    척수Spinal nerve 척수신경Stacking checkers 장기말 쌓기Standing Balance 선자세 균형Steppage gait 계상보행Stool OB (stool ... 빈혈 결막Ankle clonus 족간대성경련Ankle jerk 발목 반사Anorexia 식욕부진Antalgic gait 절뚝걸음Anticoagulants 혈액응고 억제제Aphasia ... 실어증Apraxia 실행증Arachnoid membrane 지주막ASIA (American Spinal InjuryAssociation) impairment scale 미국척수손상협회
    리포트 | 15페이지 | 2,500원 | 등록일 2024.03.23 | 수정일 2024.03.26
  • 지각 현상과 게슈탈트
    illusion) 또는 체커 그림자 착시 (checker shadow illusion) 1995 년 MIT 시과학 교수 Edward H.Adelson 에 의해 소개 사각형 A 와 ... 가로보다 수직인 세로선이 길게 인식 이 도형을 90 도 기울여도 B 가 길게 보임 – 이유는 아직 밝혀지지 않음 2020-09-17 393) 체커 그림자 착시 동색착시 (same color
    리포트 | 44페이지 | 9,000원 | 등록일 2020.09.15 | 수정일 2021.08.11
  • [A+] 미생물학/면역학 실험 : FIC index 및 Checker Board Method 결과 레포트
    이 방법은 약물들 간의 상호관계를 진단하는 checkerboard design과 호환이 될 수 있고, 이것은 Chou and Talalay에 의해 고안된 fixed concentration ... 하지만 실험의 결과에서는 colony의 growth가 없었다.6. ... Activity of diffe-rent antimicrobial combinations against methicillin-and teicoplanin-res-istant coagulase-negative
    리포트 | 7페이지 | 3,000원 | 등록일 2019.12.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대