• 통큰쿠폰이벤트-통합
  • 통합검색(115)
  • 리포트(107)
  • 자기소개서(7)
  • 논문(1)

"asic design" 검색결과 1-20 / 115건

  • standard cell methodology / ASIC / custom design / 중요 EDA tool 벤더들과 매출액 조사 과제
    한다.Full-custom design은 전기적 성능과 wafer의 면적사용 효율을 극대화시킨다. ... Programmable Gate Array)역시 PLD의 한 종류이다.3. custom designASIC를 설계하는 것을 custom design이라고 한다. 2번 문항에서 언급하였던 ... 범용 반도체(standard IC)와 상대적인 개념이다.ASIC를 사용하면 제품의 소형 경량화가 가능해지고, 처리 속도 및 전력 절감에서 상당한 이득을 볼 수 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.04.15
  • 서강대학교 디지털회로설계 과제 Full custom desgin
    ASIC flow보다 더 영역과 성능에 관심을 가지지만, speed의 필요성에는 비중을 두지 않는다.2. semi-custom designsemi-custom design은 full-custom ... 항공이나 국방, 우주 분야에서 FPGA는 소량으로 생산되는 고성능 프로세서로 생산효율이 CPU, ASIC보다 월등히 높다. ... ASIC도 설계에 따라 두 장점 중 하나를 고르거나 둘 모두의 장점을 취할 수 있지만 설계 후 생산이 이뤄진 시점에서는 변할 수 없다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 시립대 전전설2 A+ 2주차 예비레포트
    배경이론 및 개념1) ASIC(Application Specific IC)복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고, 고집적 ASIC으로만 가능ASIC: ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여 ... Automation사에서 하드웨어 기술언어인 HiLo와 C 언어의 특징을 기반으로 개발1991년 Cadence Design Systems가 Open Verilog I자인한 예시Gate
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    좁은 공간에 회로가 집적된 ASIC을 이용해야 규모가 큰 시스템을 설계할 수 있다.ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다 ... 본 실험에서는 ASIC 방식을 이용한다. standard logic IC의 큰 단점이 복잡하고 큰 시스템은 제작하기 힘들다는 것이다. ... 배경이론 및 사전조사ASIC은 Application Specific IC의 약자로 특정 전자제품에 사용할 목적으로 설계된 비메모리 반도체 칩이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    좁은 공간에 회로가 집적된 ASIC을 이용해야 규모가 큰 시스템을 설계할 수 있다.ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다 ... 본 실험에서는 ASIC 방식을 이용한다. standard logic IC의 큰 단점이 복잡하고 큰 시스템은 제작하기 힘들다는 것이다. ... 배경이론 및 사전조사ASIC은 Application Specific IC의 약자로 특정 전자제품에 사용할 목적으로 설계된 비메모리 반도체 칩이다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    Post-reportSchematic Design with Logic Gates실험날짜 :학번 :이름 :1. Introduction가. ... Digital Design with an Introducton to the Verilog HDL 5thedition3) XILINX DS099 Spartan-3 FPGA Familiy ... ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • Semiconductor Device and Design - 13~14__
    From a user perspective, it falls into the ASIC category because it can be programmed and used to meet ... Full custom Full Custom Design ▶ How designers design all circuits without using standardized Cell Library1 ... Semi custom Semi Custom Design (=Quick Design) ▶ Design method using Standard Cell and memory generator
    리포트 | 17페이지 | 2,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 장비-digilent nexys4 FPGA board-development environment (Altera, Xilinx)-Vivado Design Suite 2014.4- ... 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. CPLD는 비슷한 역할을 할 수 있는 소자이다. ... 대부분의 FPGA는 프로그래밍 가능 논리 요소에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다.FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 전전설2 실험2 예비보고서
    Schematic Design with Logic Gates9/8~9/15예비보고서1. ... 주로 비디오 게임기나 전자사전 등에 이용된다.PAL의 장단점- 논리기능 변경이 용이하고, 부품비용이 절감된다.- ASIC대비 속도가 느리고 고급HW언어개발자가 부족하다. ... 개발환경 사전 구축이 어렵다.CPLD의 장단점- 대량생산으로 집적 회로를 제조하는 경우는 ASIC를사용하지만, 개발에 걸리는 기술 자산이나 설비, IP등의 추가비용(NRE 비용)가
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    Pre-reportSchematic Design with Logic Gates날짜 :학번 :이름 :1. Introduction가. ... ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... ASIC의 분류 ASIC 분류 체계도공간 활용NRE 비용설계 변경호환성개발 기간PLD중~저저용이여러가지FPGA중저용이여러가지1주 이내Semi Custom고~중중불편보통 한가지1달 이상Full
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    있으므로 VHDL을 사용한 Design은 많은 다른 회사들간의 호환성이 보장된다④ VHDL을이용한 Top-Down 방식의 Design이 가능하여 설계기간이 훨씬 단축되고, 설계자가 ... . 1364-2001로 개정되었다.- 새로운 SystemVerilog가 개발되어 ISEE 표준화를 추진중이다.- C와 비슷한 Syntax로, 문장 기술이 VHDL보다 간단하다.- ASIC ... .⑥ 회로 기능 변경이 용이하고, 라이브러리화를 지원한다.⑦ 공정 라이브러리에 무관한 설계가 가능하다.- 단점: ① 현재의 논리 합성은 단상 동기 회로용이다.② 툴류가 비싸다.③ ASIC으로는
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • SK 하이닉스 Digital 설계 자소서
    Controller 전반 RTL(Resister Transistor Logic) 설계와 SoC 통합 검증 및 검증 기술 개발 업무를 수행하고 설계된 것을 Chip으로 만들기 위해 ASIC ... 수행함- 전자, 전기, 전파, 반도체, 정보통신, 컴퓨터, 물리 등 관련 전공- 분석적 사고, 전략적 사고, 성취지향 행동역량 필요- Noise 성분 규명, Design
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.02.18
  • 9장 VHDL 설계 툴 사용법 예비
    이때 FPGA나 ASIC 등을 위한 환경에 따라 합성된 실제회로의 소자가 달라지기 때문에 칩 설계 시 목적에 맞는 소자가 합성되도록 하는 개발도구가 존재한다. ... Xilinx ISE Design Suite 12.4 ? ISE Design Tolls ? Project Navigator의 경로를 따라가면 Xilinx를 사용할 수 있다.다. ... Xilinx ISE Design Suite를 이용하여 VHDL 설계에 대해서 이해하고 사용법을 익힌다.다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    전자전기컴퓨터설계실험Ⅱ예비리포트Lab-01 Design with TTL gates작성일: 20.09.061. ... 반면 ASIC의 또다른 방식인 FPGA는 수정이 여러 번 가능하다. ... 이에 반해 ASIC 방식은 복잡한 논리 회로를 구현할 때 사용되고 빠르게 동작한다는 장점이 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • 임베디드시스템 정리
    prototype은 custom HW를 구성하거나재사용 가능한 HW를 사용하여 시스템의 HW representation을 구성하는 것을 말한다.프로토 타입의 일반적인 tradeoff는 ASIC을 ... logic value값(signal값)의 변화를 event로 하여queue와 list를 갖고 SW적으로 스케쥴한다. logic simulator를 통한 simulation은 HW design의 ... b는 입력을 주면 중간과정을 세세히 볼수있지만, 결과까지의 시간이 오래 걸리고 c, d는 입력을 주면 결과도출이 빨리되지만 중간과정을 보기 힘들다.h) When you would design
    리포트 | 3페이지 | 2,500원 | 등록일 2021.01.04
  • SOC(software on chip) 조사하시오
    SOC 설계 도구SOC 설계에는 다양한 도구들이 사용됩니다.EDA(Electronic Design Automation) 도구: 회로 설계, 검증, 테스트 등IP(Intellectual ... Property) 코어: 기존에 개발된 기능 블록을 활용하여 설계 시간 단축ASIC(Application Specific Integrated Circuit) 설계 플랫폼: 특정 애플리케이션에
    리포트 | 3페이지 | 8,500원 | 등록일 2024.03.11
  • APQP 사전제품품질계획
    Samples optional * Current Task Definitions Task 1: Continuous Improvement Task 2: Q uality S ystems B asics ... 공정 설계 및 개발 (Process Design Dev.) 제품 설계 및 개발 (Product Design Dev.) 계획 (Plannin III. 공정 설계 ( PROC. ... 공정 설계 및 개발 (Process Design Dev.)APQP 란 무엇인가 ?
    리포트 | 28페이지 | 1,000원 | 등록일 2020.03.04
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    . 1364-2001로 개정되었다.- 새로운 SystemVerilog가 개발되어 ISEE 표준화를 추진중이다.- C와 비슷한 Syntax로, 문장 기술이 VHDL보다 간단하다.- ASIC ... Verilog HDL: 미 Cadence사 제품, 유연한 문법- 1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C언어의 특징을 기반으로 ... 개발하였다.- 1991년 Cadence Design Systems가 Open Veriog Innternational(OVI)라는 조직을 구성하고 Verilog HDL을 공개했다.-
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-01 결과리포트 (2020 최신)
    전자전기컴퓨터설계실험Ⅱ결과리포트Lab-01 Design with TTL gates작성일: 20.09.131. ... 반면 ASIC의 또다른 방식인 FPGA는 수정이 여러 번 가능하다. ... 이에 반해 ASIC 방식은 복잡한 논리 회로를 구현할 때 사용되고 빠르게 동작한다는 장점이 있다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • UNIST(유니스트) 학부 자소서
    디지털 논리 설계에서는 **ASIC(특정 용도 집적 회로)**와 FPGA(프로그래머블 논리 소자) 설계를 심화 학습하고, 이를 기반으로 효율적이고 고성능의 하드웨어 개발을 목표로 하고 ... 그 중에서도 특히 기억에 남는 경험은 Fundamentals of Digital Logic with Verilog Design과 Sapiens: A Brief History of Humankind
    자기소개서 | 4페이지 | 5,000원 | 등록일 2024.08.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대