• 통큰쿠폰이벤트-통합
  • 통합검색(2,850)
  • 리포트(2,424)
  • 자기소개서(325)
  • 시험자료(38)
  • 논문(25)
  • 방송통신대(24)
  • 서식(5)
  • ppt테마(5)
  • 이력서(4)

"LCD설계" 검색결과 1-20 / 2,850건

  • [논리회로설계실험]VHDL을 활용한 LCD설계
    조건문에서 86개의 state가 돌아가도록 설계 한후, 비트수를 한자리 줄여 43개의 state만을 이용하도록 설정한다.2-4) process(lcd_state) – state별 데이터값 ... lcd_state로 들어가, LCD의 설정값들을 출력하였다. ... 전달시에는 lcd_cnt (8 downto 1)이기 때문에, 반으로 나눈 값이 lcd_state로 들어가게 된다.Process(lcd_state)50hz클럭이 넘어갈 때 마다 lcd_state값도
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • (중앙대)마이크로프로세서 응용회로설계실습 clcd 결과보고서
    문자열에는 문자열이 끝났음을 알려주기 위해 메모리에 저장될 때 ‘\0’(역슬래시0)가 저장된다. clcd.c 코드의 함수 void clcd_write_string(char str[] ... = 0 인 for문의 조건을 만족하지 못해 for문을 탈출하게 되어 clcd_srite_data(str[i]); 가 NUL 문자에는 실행이 안 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.08.13
  • [A+]중앙대학교 마이크로프로세서 응용회로설계실습 clcd 실습 결과보고서
    마이크로프로세서응용회로설계실습7주차 결과보고서소속공과대학 전자전기공학부담당교수강의시간학 번성 명교재 p.59에 있는 3문제에 대한 답을 간단히 작성하시오. ... [main.c][clcd.c]PAGE \* MERGEFORMAT2 ... /clcd 1 20165690 LYW”과 같은 형식으로 입력하게 되면 첫번째 줄에는 20165690이 출력되고, 두번째 줄에는 LYW가 출력된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2023.03.27 | 수정일 2023.04.04
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 9주차 Lab09 예비 레포트 LCD Control 전자전기컴퓨터설계실험2,
    실험 방법실험1) Text LCD에 학번과 이름을 출력code실험2) Text LCD에 학번과 이름을 출력하되 길거리 광고판처럼 글자가 왼쪽으로 한 칸 씩 슬라이딩 되도록 설계설계조건code5 ... 전자전기컴퓨터공학부 설계 및 실험2Pre Lab-09Lab-09 LCD Control실 험 날 짜학 번이 름목차1. ... 실험 목적Xilinx ISE 프로그램을 통해 Text LCD 컨트롤러를 설계해보고 장비와 연결 후 작동을 확인해 본다.2.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.11.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 9주차 Lab09 결과 레포트 LCD Control 전자전기컴퓨터설계실험2,
    전자전기컴퓨터공학부 설계 및 실험2Post Lab-09Lab-09 LCD Control실 험 날 짜학 번이 름목차1. ... 슬라이딩 되도록 설계설계조건Combo box 실험결과사진상으로 왼쪽으로 쉬프트 모습이 잘 찍히진 않았지만 실제 동작결과 잘 작동됨을 확인할 수 있었다.2. ... 참고문헌전자전기컴퓨터설계실험2 교안PAGE \* MERGEFORMAT2
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.11.11
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 9주차 예비+결과(코드포함) Application_Design_II Text-LCD Control.
    본 결과보고서에서는 설계 및 구현한 Text LCD의 코드에 대한 설명과 시뮬레이션 결과에 대한 보고 및 검증을 진행할 예정이다.II.Text LCD 설계 결과 보고A.Codea)Text ... I.INTRODUCTION본 실험은 Text LCD에 대한 이해를 바탕으로 Text LCD를 직접 설계 및 구현하여 원하는 텍스트를 LCD에 띄우는 것을 목표로 한다. ... 두 번째 부분은 Fig. 2와 같이 clk을 1/10으로 분주해주는 분주기를 설계한 부분이다. clk로 1kHz를 사용하는데 이 분주기를 구현함으로써 LCD에 필요한 100Hz를 사용하게
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 투명 디스플레이 설계 보고서, OLED, LCD
    디스플레이 설계1. Transparent OLED의 대면적화 및 투명도 개선2. 본 프로젝트의 목적?기존 현재 상용화 되고 있는 스마트윈도우는 PDLC기술을 사용하고 있다. ... 기존 LCD의 구동 방식은 액정(LC-Liquid Crystal)을 사용한다. 액정은 고체와 중간 성질을 가졌다. ... 첫 번째는 LTPS 기술 사용으로 대면적화의 어려움과 LCD보다는 높지만 아직 더 개선이 필요한 투명성 확보이다.4.
    리포트 | 8페이지 | 2,500원 | 등록일 2017.05.22 | 수정일 2017.06.05
  • 투명 디스플레이 설계 ppt, OLED, LCD, Smart window
    설계 목적 기존 스마트 윈도우에 적용된 PDLC 를 OLED 로 전환 OLED 의 대면적화 및 투명비 개선02. ... Transparent Display Display Engineering 2Contents 1 2 3 4 5 6 7 참고 문헌 해결 과정 배경 기술 개선 방안 결과 및 결론 문제 파악 설계
    리포트 | 22페이지 | 3,000원 | 등록일 2017.05.22 | 수정일 2017.05.29
  • VHDL를 이용한 LCD 설계
    동작하기 위해 ISE를 통해 구조를 파악하여 설계한다.- KIT동작을 위해 최소한 6개 이상의 process문을 이용하여 설계한다.- FPGA_CLK, FPGA_RSTB의 변화에 ... 과 목 : 논리회로설계실험과 제 명 : LCD담당교수 : 김 종 태학 과 : 전자전기공학학 년 : 3 학 년학 번 :이 름 :제 출 일 : 08. 05. 28IntroductionLCD을 ... 따른 동작 제어의 변화를 고려하여 설계한다.- 평소보다 많은 내부 signal을 사용해야 되므로 정확한 명칭으로 헷갈리지 않게 한다.- KIT의 LCD에 조원의 학번과 이니셜이 표시되게
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 논리회로설계실험 FSM moore LCD (결과보고서)
    이렇게 설계한 moore machine을 키트에서 사용할 수 있는데 버튼으로 입력을 받고 LCD로 출력값을 볼 수 있다. ... Evaluation지난 실험과 같이 LCD를 사용하는 면에 있어서는 똑같았지만 구현하는 방식에 있어서는 차이가 있었다. moore machine은 처음 설계해보긴 하지만 결국 조건문을 ... NOT이 아닌 MAT를 두번째줄에 출력을 하도록 설계한다.위와같이 type을 선언해주고위의 순서로 when을 사용하여 state의 이동을 설계해준다.
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • Cell Design and Optical Film Compensation of Homogeneously Aligned-Electrically Controlled Birefringence mode for Field Sequential LCD (시분할 액정 디스플레이에서 수평배향 ECB 모드의 셀 설계와 광학 필름보상)
    한국화상학회 Seong Han Hwang, Byoung Sun Jung, Young Jin Lim, Anoop Kumar Srivastava, Seung Hee Lee
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2024.01.29
  • LCD 회로설계
    LCD 회로 설계 과제1. ... Monitor :2번의 변환과정에서의 Data 손실 Data 간섭에 의한 포커스 저하 그래픽 보드에 DAC, 모니터에 ADC 내장(원가 상승)PC source (Digital)LCD ... 화질 우수, 원가 절감PC source (Digital)CRT Monitor (Analog)Graphic Card (Digital→Analog)출력PC source (Digital)LCD
    리포트 | 7페이지 | 2,500원 | 등록일 2007.10.06
  • Artmega128활용한 LCD출력 프로그램 설계
    String Output LDC에 원하는 문자열을 출력AVR Simulator 키트 없이 ABR Simulator 모듈을 활용하여 프로그램 확인LCD LCD는 사용하기에 편리하며 ... 16개로 구성 ★ 캐릭터 LCD에서 자주 쓰이는 명령1. ... 캐릭터 LCD의 명령표C언어 소스(4Byte)4비트 모드이므로8비트 데이터의상위 4비트만을전송한 후,하위 4비트는상위 비트로 시프트시켜 전송
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.25
  • Atmega128을 이용한 Daily Logger 설계 (GPS, LCD, 가속도센서 사용)
    하루동안의 이동경로를 GPS값으로 저장하고, PC와 연동시에 Google Map을 통해 이동경로 확인 가능.
    리포트 | 1페이지 | 3,000원 | 등록일 2013.12.12 | 수정일 2014.11.06
  • 7세그먼트 LCD셀 제작을 위한 마스크 설계
    설계 목적 LCD셀 공정을 이해하고, Mask제작공정의 과정과 Photomask의 기능을 이해한다.LCD셀 제작공정과정PART2. ... ITD.7세그먼트 LCD 셀 제작을 위한 마스크설계Contents 1. 문제의정의 및 설계목적 2. 이론적 고찰 3. 설계사양 및 일정 4. 1차 회의록 5. ... 문제의정의 및 설계목적문제의 정의 두 개의 7세그먼트를 갖는 lcd셀을 제작하기 위해서 마스크를 설계하고 최종적으로 35mm(36X24) 필름상에 구현한다.
    리포트 | 22페이지 | 3,000원 | 등록일 2011.05.28
  • LCD란? 원리 및 이론에서부터 공정, 설계까지 (LCD 패턴의 현미경 사진 및 분석 포함)
    Film Transistor Gate Pattern 형성 (MASK 1) 간단 - 고해상도 Data Display (Note Book, PC Monitor) Mosaic 배열 - 설계 ... 간단 ( 직선 Data 배선 ) - 혼색성 우수 - 구동회로 복잡 Delta 배열 - 설계 복잡 ( Zig Zag Data 배선 ) - 혼색성 최우수 - 저해상 A/V 용 Display ... LCD 는 자체적으로 빛을 내지 못하기 때문에 백라이트와 같은 후광으로 LC 로 빛의 투과량을 조절하여 색을 표현한다 .Introduction LCD 구동방식에 따른 분류 LCD Driving
    리포트 | 50페이지 | 3,000원 | 등록일 2011.05.31
  • [verilog]DE2 Text LCD 제어기 설계 와 응용
    [실습 9: text LCD 제어기 설계 및 응용]1. ... 실습 내용4.1 text LCD 제어기 설계(1) text LCD의 timing도를 이해하고 이러한 timing을 지원하는 text LCD 제어기를설계하시오.module lcdcontroller ... 목적textLCD 장치와의 인터페이스 설계를 통하여 주변장치의 datasheet에서 제시하는 요구조건을 만족하는 인터페이스의 설계 능력을 갖춤2.
    리포트 | 26페이지 | 2,000원 | 등록일 2010.04.29
  • 디지털 시스템 설계 - UART 를 이용한 FPGA의 LCD 구동
    물론 중간에 LCD 설계가 바뀌어서 ram등의 연결을 바꾸어 주기도 했지만 사실 크게 고려할 바는 없었다.Test Plan & Verification각각의 하위 module에 대하여 ... 그렇게 하면 우리가 설계한 code에서는 data_out으로 우리가 초기화 시킨 신호 곧 생년월일과 이름이 나올 것이며 그 밖에 LCD를 구동시키는데 필요한 신호가 나올 것이다. ... 이 과정은 사실 우리가 설계한 부분이 아니라 단순히 조교님께서 설계해주신 부분을 가져다 이용하는 것이기 때문이다. 마지막으로 total system에 대하여 검증해볼 것이다.
    리포트 | 13페이지 | 3,000원 | 등록일 2009.09.01
  • 2017년도 1학기 중앙대학교 전자전기공학부 4학년 마이크로프로세서응용회로설계실습 결과보고서 [5주차]clcd-mm.c 코드 해석
    5주차clcd_mm.c 파일 코드 해석작성자학번실험일2017-04-14[1] clcd_mm.c 파일 코드 해석#include #include #include #include #include ... 실패 시 에러메세지가 나오면서 프로그램이 종료됩니다.if (clcd_init()0) command |= 0x04;// 만약 function_set이 initialize_clcd에 동작한다면 ... 따라서 현재 상태는argc = 3argv[0] = clcd_mmargv[1] = Welcome toargv[2] = Embedded World!
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.25
  • 2017년도 1학기 중앙대학교 전자전기공학부 4학년 마이크로프로세서응용회로설계실습 결과보고서 [6주차]clcd_mm1.c & key-mm.c 코드 해석
    6주차clcd_mm1.c 와 key_mm파일 코드 해석작성자학번실험일2017-05-12[1] clcd_mm1.c 파일 코드 해석#include #include #include #include ... (); initialize_clcd(); init_keyboard(); // clcd를 시작, 키보드입력을 활성화합니다.printf("\n CLCD Counter\n");printf ... (); // 키보드 입력을 비활성화 하고 clcd를 종료합니다.}int clcd_init(void){int ierr=0;CLCD_CMD =mmap(NULL, 2, PROT_WRITE
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대