• 통큰쿠폰이벤트-통합
  • 통합검색(796)
  • 리포트(772)
  • 시험자료(9)
  • 자기소개서(8)
  • 방송통신대(4)
  • 논문(3)

"IC logic" 검색결과 1-20 / 796건

  • [A+보장]한양대에리카A+맞은 레포트,논리설계실험,디지털 IC 개요, 조합논리회로,Combinational Logic Circuit
    실험 목적디지털 IC 개요 알 수 있고, 조합논리회로를 활용하여 카르노 맵을 이해할 수 있다.Chapter 2. 관련 이론1. ... 디지털 IC아날로그 회로는 연속적인 범위의 전압을 입출력하며, 논리 게이트와 같은 디지털 회로는 0과 1을 나타내기 위해 이산적인 범위의 전압으로 제한한다.예를 들어 전선의 전압,
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • Lab(1) Logic Unit 사용법 LED 555 IC실습
    IC의 Astable MV회로 실습[1]학습목표a)ED-1000BS Logic Lab Unit 사용법을 익힌다.b)555 timer IC를 사용하여 Astable MV 펄스발생 회로를 ... NPN트랜지스터는 입력신호가 High 일때 작동을 하고, PNP트랜지스터는 Low일때 작동을 한다.b) 555 IC를 이용한 Free-running MV 인터페이스 회로에서 주파수 ... Digital Engineering and Lab 기계공학과제출마감: '10.3.26(금)20:00[Lab.1]ED-1000BS Logic Lab Unit 사용법, LED구동, 555timer
    리포트 | 16페이지 | 1,500원 | 등록일 2010.06.23
  • [Lab#1]Logic Unit 사용법 LED 555IC 실습
    Lab Unit 사용법, LED구동, 555timer IC의 Astable MV회로 실습[1]학습목표a)ED-1000BS Logic Lab Unit 사용법을 익힌다.b)555 timer ... [실습0] ED-1000BS Logic Lab Unit의?Logic Lab Unit의 사용법과 기능을 설명에 따라서 확인한다. ... (D) 555IC timer IC : Astable multi-vibrator(free-running clock) 회로 구성○인터넷에서 555 timer IC의 datasheet를
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.18
  • [디지털논리회로] TTL LOGIC IC의 종류와 특징
    TTL LOGIC IC의종류와 특징&Schmitt Trigger회로의 특성(1)TTL(Transistor and Transistor Logic)의 개요TTL의 회로의 주요부문은 트랜지스터만으로 ... TTL의 저항값을 변화시켜(크게하여) 소비전력을 적게한 것 또는 고속도 TTL은 저항값을 적게하여 동작속도를 빠르게 한 것이다.(2)TTL부품번호 구성많은 반도체 회사들이 TTL IC를 ... {표준TTLTransistor & Transistor Logic54,74시리즈저전력TTLLow Power TTL54L,SN74L시리즈고속도TTLHigh Speed TTL54H,74H시리즈쇼트끼TTLSchottkiy
    리포트 | 3페이지 | 1,000원 | 등록일 2001.11.20
  • 임베디드 시스템 레포트
    Characteristics of IC logic familyIc logic family에는 RTL(저항 트랜지스터 로직), DCTL(직접 결합 트랜지스터 로직), TTL(트랜지스터-트랜지스터 ... DIP(Dual Inline Package) and SOIC(small-outline IC): DIP 와 SOICIC 패키지의 일종이다.1) DIP- 단자방향이 두 방향이며 실장형은 ... PLD(Programmable Logic Devices)(1) GAL: Generic Array Logic으로 일반 논리 배열이라고 한다.- PAL의 다음 버전 정도이며 사용자가 설계를
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 삼성전자 회로설계직 합격자소서
    입사 후에는 logic design의 모든 과정을 경험하며 혼자서도 MDDI를 logic design할 수 있는 전문 엔지니어가 되겠습니다. ... 만약 고전력 IC를 사용한다면, 저전력 IC와 비교했을 때 같은 동작을 하더라도 더 많은 에너지를 사용할 것입니다. ... [깊어진 디지털 회로설계에 대한 관심]인턴 기간동안 MDDI 개발팀에서 logic 설계를 진행했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2024.02.11
  • 전자기학실험 OR, AND, NOT 예비
    모든 TTL(Transistor-Transistor Logic) IC에는 정상적인 동작을 위해 직류전원을 인가하여야 한다. ... 따라서 입력들 중 어느 한 입력이라도 1인 경우는 출력이 1이 되게 한다.그림 4는 OR 게이트 TTL(Transistor-Transistor Logic) IC인 74LS32의 핀 ... 배치도이다. 7번과 14번 단자는 TTL(Transistor-Transistor Logic) IC에 +5 V의 직류전압을 공급하기 위한 단자이다. 74LS32는 2-입력 1-출력의
    리포트 | 10페이지 | 2,500원 | 등록일 2021.04.07
  • 디지털회로 실험 보고서 전체본
    AND 논리는 논리곱(logical product)을 말하며, 모든 입력이 1일 때 1이 출력된다. ... OR 논리는 논리합(logical sum)을 말하며, 어느 한 입력이 1이면 1이 출력된다. 스위치 A 또는 B 중에서 어느 하나를 닫으면 LED는 켜진다. ... 어느 한 입력이 1일 때 출력이 1이 되는 논리가 OR 논리이다. ‘7432’는 OR 게이트의 고유 IC 번호이다.
    리포트 | 28페이지 | 5,000원 | 등록일 2024.06.28
  • 시립대 전전설2 A+ 2주차 예비레포트
    배경이론 및 개념1) ASIC(Application Specific IC)복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고, 고집적 ASIC으로만 가능ASIC: ... 경쟁력 강화- 신뢰성 향상을 통한 부품의 최적화, 공정의 단순화, 자동화- 기능의 복합화에 대처- 고성능의 작고, 가벼운 제품을 만드는 유일한 방안ASIC 분류Full Custom IC ... -> 좁은 의미로는 full custom IC를 ASIC이라고 부르기도 함.장점개발 목적에 가장 알맞은 설계의 자유도대량 생산 시 단가 최저고 집적도와 고 성능화 달성 – 고속, 저전력
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 아주대학교 논리회로실험 / 1번 실험 예비보고서
    Logic 회로 구성 법칙인 진리표, 불대수, Logic Diagram에 대해 이해한다.3. 드 모르간 (De Morgan) 법칙에 대해 이해한다.2. ... 실험 소자IC 이름74HC00 (Quad 2-input NAND Gate)핀 구성함수 다이어그램논리 다이어그램진리표ABY001011101110IC 이름74HC02 (Quad 2-input ... NOR Gate)핀 구성함수 다이어그램논리 다이어그램진리표ABY001010100110IC 이름74HC04 (Hex Inverters)핀 구성함수 다이어그램논리 다이어그램진리표AY0110IC
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    먼저, Standard logic IC를 이용하는 경우 비교적 간단한 논리 회로를 구현할 수 있다. ... Standard logic IC에는 TTL 패밀리와 CMOS 패밀리가 있다(첫 주 실험은 TTL 이용). ... 따라서 개발 초기 단계에는 FPGA를 쓰고 대량생산이 필요한 단계에서는 Full custom IC를 주로 쓴다.이번 실험에서 사용하는 TTL gate는 논리 게이트를 내장한 표준 IC
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab01(예비) / 2021년도(대면) / A+
    IC이다.- 전원전압(Vcc)은 5V(최소 4.75, 최대 5.25)이며 “High” logic level로 인식되는 입력전압(VIH)의 범위는 2V 이상이고, “Low” logic ... IC이다.- 전원전압(Vcc)은 5V(최소 4.75, 최대 5.25)이며 “High” logic level로 인식되는 입력전압(VIH)의 범위는 2V 이상이고, “집. ... 순차 논리 회로(sequential logic C로, 4개의 2-input OR 게이트가 들어있는 IC이다.- 전원전압(Vcc)은 5V(최소 4.75, 최대 5.25)이며 “High
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-01 결과리포트 (2020 최신)
    먼저, Standard logic IC를 이용하는 경우 비교적 간단한 논리 회로를 구현할 수 있다. ... Standard logic IC에는 TTL 패밀리와 CMOS 패밀리가 있다(첫 주 실험은 TTL 이용). ... 따라서 개발 초기 단계에는 FPGA를 쓰고 대량생산이 필요한 단계에서는 Full custom IC를 주로 쓴다.이번 실험에서 사용하는 TTL gate는 논리 게이트를 내장한 표준 IC
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고 ... 본 실험에서는 ASIC 방식을 이용한다. standard logic IC의 큰 단점이 복잡하고 큰 시스템은 제작하기 힘들다는 것이다. ... Full custom IC는 기본적으로 대량생산에 특화된 IC로 단가가 경제적이다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    , 고집적도와 고성능화를 달성하여 고속, 저전력 IC 개발이 가능하다. ... logic을 최종적으로 FPGA Device Configuration까지 수행해서 동작을 확인한다.나. ... Post-reportSchematic Design with Logic Gates실험날짜 :학번 :이름 :1. Introduction가.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 전자전기컴퓨터설계실험2 실험1 예비레포트
    이론 배경TTL(Transistor Transistor Logic)이란 다수의 트랜지스터에 의한 논리게이트를 내장한 Standard Logic IC로써 이를 이용하여 다양한 디지털 ... IC는 출력단에 흐를 수 있는 전류의 크기가 제한되어 있기 때문에 Fan Out을 초과하여 Load가 연결되어 있을 경우 출력단의 회로가 손실될 수 있으며, 전압강하로 인해 출력 ... Fan Out하나의 출력에 몇 개의 입력이 연결될 수 있는지를 나타내는 척도이며, IOH/IIH 혹은 IOL/ IIL 값을 가진다.TTL, CMOS와 같은 Standard Logic
    리포트 | 13페이지 | 1,500원 | 등록일 2020.11.24
  • Semiconductor Device and Design - 12__
    Floor plan Chip floor plan ▶ Part of Layout in IC Design Process ▶the placement of blocks, not the placement ... Eggleston [2] https://www.electronics-tutorial.net/Programmable-Logic-Device-Architectures/Programmable-Logic-Devices ... analog and digital lines routed in crossing ▶ Coupling through the substrate Capacitive coupling of logic
    리포트 | 14페이지 | 2,000원 | 등록일 2023.06.22
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고 ... 본 실험에서는 ASIC 방식을 이용한다. standard logic IC의 큰 단점이 복잡하고 큰 시스템은 제작하기 힘들다는 것이다. ... Full custom IC는 기본적으로 대량생산에 특화된 IC로 단가가 경제적이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • Logic 연산과 gates 실험보고서
    Logic 연산과 gates1. ... IC AND gate2.1 TTL IC 7408에는 4 개의 2-입력 AND gate가 들어 있다. pin 번호와 각각의 기능을 적어 둔다. ... 2와 같은 회로를 만들어 A, B가 각각 1일 때는 5 V, 0일 때는 0 V를 연결하여 A,B 입력에 따른 출력 C의 변화를 살펴보고 표 1을 완성한다.multisim_diode logic
    리포트 | 18페이지 | 1,000원 | 등록일 2021.04.06
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서1
    Logic gates의 이해2. ... Logic 회로 구성 법칙의 이해- Boolean equation의 이해- De Morgan의 법칙 이해2) 실험이론· 기본 Logic gates에는 AND, OR, NOT, BUFFER가 ... Gates의 도식과 불대수식기본 Logic GatesANDORNOTBUFFER확장 Logic GatesNANDNORXOR드모르간의 정리 (De Morgan's Theorem)기본법칙bar
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대