• 통큰쿠폰이벤트-통합
  • 통합검색(170)
  • 리포트(123)
  • 자기소개서(41)
  • 논문(2)
  • 서식(2)
  • 방송통신대(1)
  • 이력서(1)

"FPGA를 이용한 디지털시스템설계" 검색결과 1-20 / 170건

  • FPGA를 이용한 디지털 시스템 설계(인하대) 순차회로 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTSequential Circuits 설계11. ... 래치 종류에 따라 입력은 한개 또는 두개를 사용한다.디지털 시스템 설계에서 경우에 따라 래치의 입력을 반영할 시점을 조절할 필요가 있다. ... 또한 시계의 카운터회로나 기타 복잡한 디지털 회로에는 필수적 요소이다.3.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • FPGA를 이용한 디지털 시스템 설계(인하대) Counter 카운터 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTCounter 설계1. 실험목표이번 실험의 목표는 순차회로 중 하나인 Counter를 설계한 후 시뮬레이션 하는 것이었다.2. ... 실험과정 및 소스코드.이번 실험에서는 4bit Up Counter와 Down Counter를 설계하고, 4bit 74163 Counter를 이용하여 8bit 74163 Counter를 ... 보통 2개 이상의 플립플롭의 조합으로 구성되어 있으며 플립플롭들이 미리 정해진 순서를 따라 상태를 변경하도록 설계한다.카운터가 수를 세는 원리는 들어오는 입력신호(보통 클럭신호)의
    리포트 | 16페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • FPGA를 이용한 디지털 시스템 설계(인하대) MUX, Decoder, Comparator 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTMUX , Decoder , Comparator 설계1. ... 하고, 선택한 출력선에 1이 나오게 한다면 나머지 출력선에는 0을 출력하게 한다.또한 Enable입력 e를 추가하여 e가 1인 경우에만 decoder가 작동하도록 하였다. if문을 이용하여 ... 출력하는 회로이다.이 때 n개의 입력을 갖는 디코더는 그것을 숫자로 보고 2의 n제곱 개의 출력 회선 중 그 숫자에 해당되는 번호에만 1을 내보내고 나머지는 모두 0을 내보낸다.디지털회로에서는
    리포트 | 18페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • FPGA를 이용한 디지털 시스템 설계(인하대) floating point adder, divider 보고서
    module floting_point_adder (clk, st, f1, f2, e1, e2, V, Done);input clk, st;input [4:0] f1, f2;input [3:0] e1, e2;output V, Done;parameter [1:0] S0 = ..
    리포트 | 12페이지 | 1,500원 | 등록일 2014.11.27 | 수정일 2014.12.01
  • FPGA를 이용한 디지털 시스템 설계(인하대) 기말 프로젝트 볼링 점수 계산기 보고서
    인하대 FPGA를 이용한 디지털 시스템 설계 기말 프로젝트 외 기말고사 기출자료입니다.150점 만점에 140점을 맞은 자료(시연+리포트)입니다.시연은 만점 받았으며, 시연화면을 실행화면으로 ... 많은 도움 되셨으면 좋겠습니다.* 파일 사용법 *FPGA 기말 프로젝트 파일 이용법입니다.우선 전체 파일을 압축을 풀어줍니다.그 후에 쿼터스2가 깔려있는 드라이브에 (C드라이브에 깔려있으면
    리포트 | 10,000원 | 등록일 2015.09.29 | 수정일 2016.12.01
  • FPGA를 이용한 디지털 시스템 설계(인하대) 16bit Full Adder 보고서 (verilog코딩)
    FPGA를 이용한 디지털시스템 설계 REPORT1bit, 4bit full adder를 이용한 16bit full adder 설계1. ... adder 설계의 경우, 처음에는 어떤 방법을 이용해야 할지 고민이 되었다. 4bit full adder를 설계할 때처럼 1bit full adder를 사용하여 설계를 시도해보았지만 ... 실험목표이번 실험의 목표는 Verilog언어를 이용하여 1bit , 4bit fulladder를 설계하고 최종적으로 16bit fulladder를 설계한 후 시뮬레이션하는 것이었다.2
    리포트 | 12페이지 | 2,000원 | 등록일 2015.09.25
  • FPGA를 이용한 디지털 시스템 설계, Quartus로 ALTERA 코딩을 한 볼링 게임
    FPGA를 이용한 디지털 시스템 설계의 최종 프로젝트는 quartus프로그램에서 verilog로 볼링게임 구현 및 점수를 계산하는 프로그램을 만드는 것이었다. ... 그리고 끝내 이해하지 못한 가장 의문인 부분이 있었다. 50MHz 클럭을 이용하여 계속해서 증가하는 변수인 count1을 11로 나눈 나머지로 하여 랜덤값을 만들었는데 이상하게도 첫 ... 눌렀을 경우에 한번으로만 인식되는 것이 아니라 계속해서 스위치가 눌린 동작을 하기 때문에 적절한 delay를 주어야하는데 이 부분에서 한참을 고민한 끝에 0.5초마다 동작하는 변수를 이용하여
    리포트 | 1페이지 | 5,000원 | 등록일 2012.12.26
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTTraffic Light Controller 설계1. ... 유한상태머신은 무어머신과 밀리머신 두가지 종류로 설계할 수 있는데, 우리는 여기서 무어머신을 이용하여 설계할 것이다. ... 실험과정 및 소스코드.위에서 설명한 것과 같이 이번 실험에서 유한상태머신을 이용하여 신호등 제어기를 설계할 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서실험제목BCD to 7 Segment, 7 Segment를 통한 계산기 설계 및 구현실험목표1 ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계2. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현3. ... FPGA 보드에 연결하여 작동해보는 실험이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 디지털 시스템 설계 - UART 를 이용한 FPGA의 LCD 구동
    디지털 시스템 설계Project 2Problem Statement and Design Specification이번 프로젝트는 1차 프로젝트에서 수행하였던 complete UART의 ... 이 과정은 사실 우리가 설계한 부분이 아니라 단순히 조교님께서 설계해주신 부분을 가져다 이용하는 것이기 때문이다. 마지막으로 total system에 대하여 검증해볼 것이다. ... 프로젝트의 큰 block diagram은 다음과 같다.상기의 system은 2개의 UART module과 LCD controller, FPGA 단으로 구성되어 있다.
    리포트 | 13페이지 | 3,000원 | 등록일 2009.09.01
  • FPGA를 이용한 디지털 시스템 설계(인하대) Booth algorithm, 16bit multiplier (problem 4-21, 4-22 중간고사 코딩) 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTProblems 4-21, 4-22설계Problems 4-21. Booth Algorithm1 - 1. ... 문제목표Problems 4-21의 목표는 Booth Algorithm을 베릴로그를 이용하여 설계하고 시뮬레이션을 통해 동작을 확인하는 것이다.1 - 2. ... 8비트로 선언한다. 8비트의 두 수를 곱하게 되면 결과는 15비트가 나오므로 곱의 결과 Product는 15비트로 선언한다.그 다음에 parameter구문을 이용하여 상태를 저장하는
    리포트 | 19페이지 | 2,500원 | 등록일 2014.11.27 | 수정일 2015.10.02
  • fpga bcdconverter
    설계에는 입력을 8비트로한다.1. Binary number를 왼쪽으로 1bit씩 shift 한다.2. ... 본 설계에는 입력을 8비트로한다.1. Binary number를 왼쪽으로 1bit씩 shift 한다.2. ... 를 적지 않아서 설계와는 다르게 동작하게 되었다.- 오류를 해결했다면, 어떤 부분에서 해결을 했고, 왜 오류가 발생하였는지?
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.05
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... Digital Design with an Introducton to the Verilog HDL 5thedition3) XILINX DS099 Spartan-3 FPGA Familiy
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... 또한 대부분의 FPGA는 완전히 혹은 부분적으로 시스템상에서 재설정을 지원하며 이들의 설계시스템 향상이나 시스템 동작의 일반적인 부분처럼 동적 재설정하여 즉흥적으로 변경하는 것을 ... ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 전전설2 실험2 예비보고서
    심볼을 직접 불러와서 배치하고 연결함으로써 디지털 회로를 디자인한다.Schematic 방식으로 설계한 logic을 최종적으로 FPGA Device Configuration 까지 수행해서 ... 실험 목적Design Tool을 사용하여 Digital logic의 Schematic 설계를 수행해 본다.Schematic 설계는 ISE가 제공하는 여러 가지 종류의 logic gate ... 것이고, 단순한 논리회로를 설계한다면 CPLD를 이용할 것이다.- 일반 FPGA 는 어디로 배선될지 모르지만(로직 활용도에서 우수) CPLD는 가운데에서 양쪽 블록들로 배선되기 때문에
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    용도 및 기능을 파악하고 설계Digital IC를 검증하는 방법을 익힌다.3. ... FPGA Board를 이용한 FSM회로의 구현 (up-counter)예비레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로를 설계하기 위해 사용된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • ring,jhonson counter 예비레포트
    $monitor, $display: 특정 값을 디스플레이 할 때 사용)(5) synthesis-합성은 HDL을 이용한 설계에서 가장 중요한 과정이다. ... -시스템 기능 연산자는 테스트 벤치에서만 사용하며 $기호로 시작한다. ... 관련 이론(1) FPGA (field-programmable fate array)FPGA설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • FPGA구조와 ASIC 설계 방법 실험 레포트
    아나폴리스 마이크로 시스템 (Annapolis Micro Systems, Inc.)의 코어파이어 디자인 슈트는 높은 수준 설계 엔트리에 그림형태의 데이터흐름 접근을 제공한다. ... 비슷하나 다수의 동일한 cell이 배치되어 있는 형태를 이용하여 설계하는 방식이다. ... 디지털 회로가 일반적이었지만 1990년대 후반부터 아날로그 회로도 제작하게 되었다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • Verilog HDL을 이용한 로직게이트설계FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 제목 [Verilog HDL을 이용한 로직게이트설계FPGA를 통한 검증]2. ... -Field Programmable Gate Array(FPGA) board의 용도 및 기능을 파악하고 설계Digital IC를 검증하는 방법을 익힌다.-1-bitFullAdder와 ... Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA를
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 디지털시스템실험 2주차 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목① FPGA 및 Verilog의 이해, Verilog를 통한 ... FPGA 프로그래밍 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.실험결과1. ... ModelSim 소프트웨어를 이용한 시뮬레이션1. ModelSim 프로그램을 이용하여 우측과 같은 파일을 작성하고 Proj01 이라는 이름으로 프로젝트를 생성하였다.4.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대