• 통큰쿠폰이벤트-통합
  • 통합검색(458)
  • 리포트(426)
  • 시험자료(22)
  • 자기소개서(6)
  • 서식(2)
  • 논문(1)
  • 방송통신대(1)

"FA회로" 검색결과 1-20 / 458건

  • 실리콘웍스 [R&D] 반도체 회로설계 및 TEST/FA 분야 신입/경력사원 모집 자기소개서
    실리콘웍스 [R&D] 반도체 회로설계 및 TEST/FA 분야 신입/경력사원 모집 자기소개서(자소서 항목)① 지원동기 및 향후 계획과 포부에 대하여 (300자 이상 500자 이내 작성 ... 경험을 통해 이 회사에 관심을 가지게 되었으며, 관심을 밝히는 방법이 필요합니다.본격적인 반도체 및 회로전자에 대한 공부는 고등학교 2학년이 담임선생님과의 대회준비를 위한 연구에서
    자기소개서 | 4페이지 | 3,000원 | 등록일 2016.07.28
  • [토끼] Adder(가산기), HA회로, FA회로, 2 Digit Adder, 2 Digit Adder-Subtractor 설계 및 VHDL검증
    결국 사람의 눈으로는 2진법의 연산이 나오는 것이다.이 회로의 특징으로는 첫 번째 FA의 캐리가 두 번째 FA 에 집어 넣어져 결국에는 자리수 증가의 결과가 나오는 것이다.⇒ 토의 ... 이러한 산술 기능을 수행하는 회로에는 Adder와 Adder-Subtractor, mutilplexer등이 있는데 이 실험을 통해서 Adder를 이용한 반가산기, 전가산기, FA를 ... 이용한 4Digit Adder, FA를 이용한 2Digit Adder-Subtractor를 제작하고 그 동작을 검증하여 이러한 조합회로의 성질과 특징에 대해서 알아본다.4.Background0
    리포트 | 42페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 실험 13_공통 게이트 증폭기 예비 보고서
    /V25kOMEGA 포화2.053fA0.48fA/V5.5POMEGA실험회로 1의 소신호 등가회로g _{m} = {2I _{D}} over {V _{OV}}(V _{th} SIMEQ ... 포화2.053fA0.48fA/V5.5POMEGA트라이오드153.9uA0.267uA/V20kOMEGA 포화2.053fA0.48fA/V5.5POMEGA트라이오드145.3uA0.253uA ... 이를 이용하여 소신호 등가회로를 그리고, 실험회로 1의 소오스 팔로워 회로의 이론적인 전압 이득을 구하시오.
    리포트 | 11페이지 | 2,000원 | 등록일 2023.01.25
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    1 Sum 0FA_100 Output _Carry 0 Sum 1FA_101 Output _Carry 1 Sum 0FA_110 Output _Carry 1 Sum 0FA_111 Output ... _000 Output _Carry 0 Sum 0FA_001 Output _Carry 0 Sum 1FA_010 Output _Carry 0 Sum 1FA_011 Output _Carry ... 이 실험은 입력에 0과 1을 입력하여 각각의 설계한 회로에 따라 변하는 출력값을 확인할 수 있었다.
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • PLC, 시퀀스 제어방식(자기유지회로,인터록회로,타이머) 실험 보고서
    실험 기본 이론공장자동화(FA : Factory automation)의 규모와 수준이 확장됨에 따라서, FA공정제어장치에 의해서 이루어지는 기능(입력과 출력 신호 사이의 논리적 연결기능 ... )입니다.간단한 논리회로(AND, OR, NOT, NOR, NAND등)로부터, 거의 모든 FA공정제어 분야에서, 급속한 속도로 PLC가 기존의 릴레이 제어장치를 대체되어 다양한어플리케이션에 ... 이에 대응하기 위해서, FA공정제어 논리를 프로그램 형태로 작성하여, 컴퓨터로 구현하는 방법이 개발되었는데, 그 대표적인 예가 PLC (Programmable Logic Controller
    리포트 | 9페이지 | 3,000원 | 등록일 2022.06.27 | 수정일 2022.06.28
  • 한양대 Verilog HDL 2
    관련 이론Verilog HDL (Hardware Description Language)인 베릴로그는 IEEE 1364에서 표준화된 것으로, 전자회로 및 시스템에 사용되는 하드웨어 기술 ... 있고, 컴파일 과정이 우리가 알던 기존의 프로그래밍언어와는 다르지만 기본적인 문법은 C언어와 유사하다.반가산기를 뜻하는 Half Adder (HA)와 전가산기 Full Adder (FA
    리포트 | 9페이지 | 2,000원 | 등록일 2023.03.21
  • [건국대학교 논리회로 A+][2024 Ver] 10주차
    그러다 FA에서 A와 B를 XOR한 값과 ci값을 XOR하여 S를 구하는 것과 같은 개념이라는 것을 깨달았다. ... 논리회로 실습과제 10주차 1. ... 이러한 기능들을 잘 사용하면 앞으로 아무리 복잡하고 어려운 회로가 나와도 손쉽게 만들 수 있을 것 같다.
    리포트 | 10페이지 | 5,000원 | 등록일 2024.08.14 | 수정일 2024.08.19
  • VHDL-1-가산기,감산기
    주제 배경 이론2진수의 덧셈을 구현하는 회로이다. 한 자리 수만 존재한다고 가정한다. 이때 두 수의 합은 일의 자리에 나타나고 받아올림이 발생하면 그 다음 자리수에 할당이 된다. ... fcarry => add_sum(8));end sample;-- 포트의 입출력을 지정한다. 8bit가 필요하므로 7~0 총 8개의 비트를 할당했다.--1을 넣으면 감산기 역할을 하는 회로를 ... 이렇게 NOT을 구현하고 Carryin에 M을 연결해서 1일 경우 회로에 1이 더해지도록 한다.2.2 소스코드 설명(VHDL)소스코드설명library ieee;use ieee.std_logic
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 전전설2 실험 1 예비보고서
    blogId=project7&logNo=220438765241&proxyReferer=http:%2F%2F210.117.121.212%2Ftm%2F%3Fa%3DED%26b%3DWIN ... blogId=ahinoam75&logNo=40013754417&proxyReferer=http:%2F%2F210.117.121.212%2Ftm%2F%3Fa%3DED%26b%3DWIN ... 회로 실험- 전가산기 회로 설계2.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • [아두이노 프로젝트] 멜로디 알람 시계
    회로도3. 소스 코드 해설4. 소스 코드+주석1. 구현방법브레드보드에 스위치를 연결한다. ... 라이브러리를 이용해 설정하고 LCD는 LiquidCrystal_I2C 라이브러 리를 이용해 제어하기 쉽게 설계한다.위와 같이 I2C 레지스터 확인하셔야 합니다모를 경우 서치 필요[회로도 ... =330Hzdelay(500); //duration만큼 '미'실행noTone(500); //noTone() = 소리 끔delay(500); //duration만큼 소리끔}void FA
    리포트 | 23페이지 | 10,000원 | 등록일 2021.06.16
  • 2019 현대위아 채용형 인턴 상반기 합격 자기소개서
    즉, 시퀀스 회로에 관한 기본적인 구상도를 배울 수 있었습니다. ... 이를 통해서도 전기 직군의 전반적인 실무에 관해 지식을 쌓을 수 있는 경험이 되었습니다.위의 경험을 통해서 지원한 직무인 생산기술-FAFA 프로젝트에서는 설계, 시운전부분에, 특수설계에서는 ... 무부하 테스트 회전 참관을 통한 실무에서의 기본적인 업무를 확인할 수 있었습니다.세 번째, 시퀀스제어는 전기·전자팀에서 배가 움직이게 하는 터빈에 달린 전동기의 3상 교류전압 논리회로
    자기소개서 | 3페이지 | 4,000원 | 등록일 2020.06.18
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    위 식을 회로로 그리면 는 4단계 AND-OR-AND-OR 게이트 회로로 나타내어진다. ... 이 시그널들을 fulladder_4의 객체 FA_4에 매핑했다.입력의 변화는 주어진 조건에 따라 변화하도록 신호의 변화주기를 wait for 문에 적고, X와 Cin은 not 연산을 ... adder의 아키텍처 선언과 begin 사이에 컴포넌트 fulladder_1의 포트를 엔티티 fulladder_1의 포트와 동일하게 선언하여 아키텍처에 fulladder_1의 객체 FA
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    , FA1, FA2, FA3과 같이 4개의 객체를 선언했다. ... prime C _{입력} prime `+`A prime B` prime C _{입력} `그림 1: 1 bit Full Adder의 결선도그림 2: 1 bit Full Adder의 회로도Cout ... 과제의 조건에 따라 1bit fulladder의 동작을 앞부분에 포함했고 Component Fulladder를 Entity Fulladder와 동일하게 선언한 다음 이를 이용해 FA0
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • RC회로 실험
    관찰 및 결과(1) 사용한 전기저항의 전기 저항 : a, b, c(2) 사용한 축전기의 전기 용량: A, B횟수축전기전기 저항측정 시기t1/21A100μFa10Ω충전9.3562x10 ... 반감기 t1/2횟수축전기옴측정 시기t1/2(측정)sC(측정) μF오차(%)t1/2(이론)s오차(%)1A100μFa충전9.3562x10^-486.5617350.00069335방전9.5119x10 ... RC 회로 실험1. 실험 제목: RC회로 실험2. 실험 목적: 축전기(C)와 전기저항(R)을 직렬로 연결한 회로(RC 회로)의 충전 및 방전 특성을 실험을 통해서 이해한다.3.
    리포트 | 5페이지 | 3,500원 | 등록일 2020.12.21
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 1.TTL - 예비+결과+성적인증 (서울시립대)
    * 실험 목적1.TTL과 LED 소자를 이용한 논리회로를 이해할 수 있다.2.여러 논리회로(OR, XOR, AND, HA, FA)에 대한 설계와 실험을 할 수 있다.[2] fan out
    리포트 | 16페이지 | 무료 | 등록일 2021.07.10 | 수정일 2021.09.27
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서3
    즉, 두 개의 HA로 한 개의 FA를 만들 수 있다.실험3? 예상 결과반감산기 불대수식D=XY=XY'+X'YB=X'Y? ... Making FA Using two-HALogic diagramAnalysis전가산기 (full adder)는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다 ... 실험 결과회로X=5V, Y=5V, Z=0VX=5V, Y=0V, Z=0V- 전원의 연결, 회로의 구성을 준비한 결선도와 조금 다르게 수행했다.- 결선도와 회로 모두 부하저항(Load
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.24
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    전가산기(FA): 올림수와 두수를 함께 더하는 가산기[An, Bn, Cn-1(올림수) > Cn, Sn]진리표를 보면 전항의 올림수와 변수를 더하며 해당값으로 또 올림수와 합이 나온다 ... 아날로그 및 디지털 기초 회로 응용2. ... KCL, KVL 현상 확인[아두이노 활용, KCL, KVL 확인 회로 구성]구성할 회로[ 측정값 출력 사전 코드 구성]1) 위 그림의 회로를 구성하여 V1에 5V, GND를 인가한다
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    FPGA 2주차 실습 보고서실습이론FA(fulladder) : 입력 a,b와 carry in을 받아서 덧셈을 하여 carry out 과 sum을 내보내는 것MUX(multiflexer ... Verilog의 편리한 점은 회로를 시각적으로 확인할 수 있고 testbench를 통하여 실제 입력값들을 디지털회로에 입력시켜보고 출력값을 확인할 수 있다는 점이다. ... 하지만 회로상에서 3개 입력이 대칭되어 있다고 할 수 없다.입력 A, 입력 B, 자리올림수 입력 (X), 출력 (S), 자리올림수 출력 (C)의 관계를 보여주는 진리표는 다음과 같다
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 컴퓨터 구조 계산기_quartus 설계_2024
    이 방법은 간단한 회로만 설계가 가능하며 원하는 로직을 회로도로 직접 구현하는 방법이다. ... #CARRYALU에서 CARRY가 발생하면 다음 FA로 들어가게 되어있는데 마지막에 생긴 CARRY는 JK Flip-Flop으로 처리해주었다. ... FA에 B 입력을 XOR로 달아 감산기 역할도 할 수 있게 만들었다.제어신호인 M이 T6일 때만 감산기(1)이고 나머지 타이밍에서는 가산기(0)로 동작된다.
    리포트 | 17페이지 | 2,000원 | 등록일 2024.06.07
  • 미생물학 레포트
    (그리고 NAD FAD는 다시 TCA회로에서 이용되어 진다.) ... ADP(Adenosine Diphosphate)와 인산에 에너지를 공급, 숙신산(Succinic Acid)이 된다(앞에서 ADP+Pi->ATP+H2O).7.숙신산은 FAD(Fe2+ FA ... +)에 두 개의 수소 이온과 두 개의 전자를 넘겨줘 FADH2(Fe2+ FA-H)를 만들고 Fumaric Acid이 된다.8.푸마르산에 Fumaric acid hydrase와 물 분자를
    리포트 | 4페이지 | 1,000원 | 등록일 2019.09.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:53 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대