• 통큰쿠폰이벤트-통합
  • 통합검색(760)
  • 리포트(680)
  • 시험자료(30)
  • 자기소개서(19)
  • 방송통신대(17)
  • ppt테마(6)
  • 논문(5)
  • 서식(1)
  • 이력서(1)
  • 노하우(1)

"DIGITAL WATCH" 검색결과 1-20 / 760건

  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    여러개의 입력 중 하나를 선택하여 출력한다.Digital Watch는 시간이 정상적으로 동작하는 시계의 기능과 시간을 바꿀 수 있는 2가지 기능을 구현해야 한다. ... 시뮬레이션 결과 및 설명코드에서 작성한 대로 0~9까지의 data가 BCD 데이터가 입력되면 해당하는 fnd 출력 신호를 발생.실습제목: Digital Watch1. ... 주제 배경 이론1초 생성기, 60진 카운터 2개, 24진 카운터, 2x1 MUX, FND를 이용하여 digital watch를 구조적 모델링으로 설계한다. 1초 생성기를 이용해서 초를
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • VHDL 디지털 시계 digital watch
    1Digital Watch1. 실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.2. ... [Schematic을 사용한 Digital Watch 설계][PIN 설정][RTL 뷰어](1) Digital watch(2) 1초 생성기(3) 60진 카운터(4) 12진 카운터(5) ... 그렇지 않으면 디지털 카운터 실습보드로 결과를 확인할 때 시간이 엄청 빨리 흐른다.(2) 60진/12진 카운터[60진 카운터]60진 카운터는 디지털 타이머에서 초와 분을 나타낼 때
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • verilog-디지털시계(Digital watch)A+자료 코드및 레포트
    목표: Verilog HDL을 이용하여 디지털 시계를 설계 한다.내용: 교재를 참고하여 디지털 시계를 완성 한다.- MSL (Master Selection Logic) 설계- DCL ... (Digital Clock Logic) 설계 - TL (Timer Logic) 설계- AL (Alarm Logic) 설계- 7-Segment 출력 설계- 부가적인 기능 (Blink
    리포트 | 59페이지 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • Final_Project_Digital_Watch
    서울시립대, 시립대, 전컴설, 전자전기컴퓨터, 전자전기컴퓨터설계실험, 설계실험, 설계실험2, 전전설, 전전설2, 전컴설2 Digital watch , 디지털시계 프로그램소스 입니다. ... 날짜, 시계, Stop watch, 수정 등이 가능합니다
    리포트 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • VHDL을 이용한 digital watch 설계
    실습명 : Digital Watch2. 실습 목표? 계층구조를 사용하여 디지털시계를 VHDL로 구현하고 DE2 보드동작을 확인한다.? ... 디지털 시계디지털 시계란.. 네이버 국어사전을 보면 바늘대신 숫자로 시간을 표시한 시계라고 되어있다. ... VHDL 및 실습ReportDigital Watch제출일2013년 4월 15일최종기한2013년 4월 15일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름우 경
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 디지털시계와 stop watch
    0);led : out std_logic_vector(7 downto 0));end digital_watch;architecture Behavioral of digital_watch ... ;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic;push1 : in std_logic;push2 : in ... **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • VHDL - Digital Watch 소스. 정상 동작 확인. A+
    디지털 설계 , 콤보2 장비를 사용했습니다. vhdl 프로그램 소스입니다.VHDL - Digital Watch 소스 입니다. ... , stop watch, alarm, setting 이라는 dot matrix 가 아래에서 위로 흐르게 됩니다.vfd 기능으로 lcd 에 간단한 글자를 표현했습니다.과제 평가 항목 ... 소리는 입니다.시간 설정 모드는 아래의 버튼을 누르고 있으면 시간이 증가하고 모드를 변경해도 원래의 시계가 설정된 시간에 따라서 계속 진행됩니다.모드에 따라 watch
    리포트 | 55페이지 | 3,000원 | 등록일 2014.12.30 | 수정일 2016.05.24
  • 전자회로실험 - Digital Stop Watch2
    실험제목 : Digital Stop Watch2. 학번, 반, 조, 이름 : 0000000000 0반 0조 ㅇㅇㅇ3. 제출일 : 2010년 10월 13일 수요일4. 실험목적? ... 나머지 디지털입력을 받는 두 개의 DAQ는 그림과같이 연결하는데 아래의 DAQ에서 240을 빼주는이유는 아래의 DAQ가 포트 0.0~0.7즉 8bit인데 우리는 4개의 비트만 쓰는데
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 전자회로실험 - Digital Stop Watch1
    --------------------------------------------------------------------Digital Stop Watch---------------
    리포트 | 7페이지 | 1,500원 | 등록일 2013.05.16
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    개요- Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital ... 프로젝트 목표- VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다.4. ... 프로젝트 보고서학 교 : 대학교 이공대학학 과 :성 명 :교 과 명 : 디지털 시스템 설계담당교수 : 교수님제 출 일 : 2010. 12. 6.
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • 베릴로그 verilog 전자시계, digital watch verilog 실행 file
    리포트 | 10,000원 | 등록일 2013.09.09 | 수정일 2022.09.10
  • 디지탈 스톱워치 ( Digital stop watch )
    디지털 스톱 워치(Digital stop watch)목차제작 개요 및 목표 스톱워치의 동작 스톱워치의 내부적 순서 스톱워치 내부 클럭의 흐름 전원부 구성 Ne555의 주기 계산 IC ... 목표 : 논리소자를 스톱워치 설계에 이용하여 디지털 스톱워치의 원리를 이해하고 논리소자의 원리를 이해한다.*스톱워치의 동작*O1. ... 내부 및 동작원리 JK 플립플랍 진리표 IC 조합 리셋부의 원리*제작 개요 및 목표*개요 : 타이머 IC 및 논리 소자를 이용하여 디지털 스톱 워치를 제작한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2008.11.30
  • 베릴로그 verilog 프로젝트project 기본 전자시계 digital watch 소스 파일
    Generated physical constraintsNET "in_24" LOC = P64;NET "in_RESET" LOC = P45;`timescale 1ns / 1psmodule digital_clock_jokyo
    리포트 | 66페이지 | 10,000원 | 등록일 2013.09.09 | 수정일 2022.09.10
  • (디지털시스템설계)VHDL Digital Stop Watch 제작 계획서
    Digital Stop Watch Design midterm presentation Main Project 조 (,,,) 계 획 서List Main Project 의 목표 2 Project ... 주파수분주기 (Clock 생성 ) Counter( 시간 계수 ) 신호 생성기 (button 동작 제어 ) Controller ( 시간 출력 ) Digital Stop Watch!! ... Project 내용 설명 입 - 출력장치 ( Input-Output Device ) Stop Watch 의 설계 조건 Stop Watch 동작 설계(1) Stop Watch 설계 조건
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.01
  • Spartan 3 E Board Digital Watch(디지털 시계)
    여기서 Basic 기능은 AM/PM, 시간, 분, 초 의 표시로서 기본의 디지털 시계가 지녀야할 기능을 말하고 있다.
    리포트 | 66페이지 | 5,900원 | 등록일 2008.08.29
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    < DASH WATCH >목 차0. ... 디지털 시스템 설계를 위한 VHDL 기본과활용. - 박세현, 그린.1998? 디지털공학 및 실습. ... Dash Watch 시현 사진 ------------------------------813.
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • VHDL Digital Watch(LCD) VHDL PPT입니다.
    Project - Digital Watch -4조 Proposal목 차Work Plan Roles of Members Intended Functionalities Brief DesignWork ... Plan(1/2)1주차(5.19 ~ 25) LCD Interface 자료 수집 VHDL 사이트 정보 수집 Digital Watch 자료 수집(문헌 및 인터넷) 2주차(5.26 ~ ... 6. 1) LCD Interface source code 분석 Digital Watch VHDL source coding Emulation in BoardWork Plan(2/2)3주차
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    FSM는 디지털 논리에서 회로를 꾸미고자 할 때 중요하게 사용되는 방법중의 하나입니다. ... 주로 마이크로 프로세서 뿐만 아니라 디지털로 꾸밀 수 있는 모든 회로들의 주요 CONTROLER회로를 꾸미는 방법으로 사용되기도 한다. ... 기본 이론(1) FINITE STATE MACHINE(가) FSM은 일반적으로 디지털회로를 꾸밀 때 사용하는 중요한 기법중의 하나이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    FSM는 디지털 논리에서 회로를 꾸미고자 할 때 중요하게 사용되는 방법중의 하나입니다. ... 주로 마이크로 프로세서 뿐만 아니라 디지털로 꾸밀 수 있는 모든 회로들의 주요 CONTROLER회로를 꾸미는 방법으로 사용되기도 한다. ... 기본 이론(1) FINITE STATE MACHINE(가) FSM은 일반적으로 디지털회로를 꾸밀 때 사용하는 중요한 기법중의 하나이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] 기말 프로젝트(Final Project) 스탑워치(Stop Watch) 제작
    디지털 회로 실험Final-term Project[ Stop_Watch 제작 ]R E P O R T*Professor*Major*Student No.*NameⅠ. ... 디지털시계 동작(time_gen)? 디지털시계의 기능을 구현한 block이다. ... mode_00 (디지털시계)? mode가 00일 때 디지털시계의 동작을 하는 시뮬레이션이다. 11초 정도를 돌려서 10.99초가 현재출력되고 있음을 확인했다.?
    리포트 | 22페이지 | 5,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:18 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대