• 통큰쿠폰이벤트-통합
  • 통합검색(276)
  • 리포트(253)
  • 시험자료(20)
  • 방송통신대(3)

"8-bit Shift Register" 검색결과 1-20 / 276건

  • 8-bit Register&8-bit Shift Register verilog code/플립플롭을 이용한
    -bit Register8-bit Shift Register분석 및 고찰8-bit Register8-bit Shift Register를 설계해 보았다. 8-bit Register는 ... 코드8-bit RegisterD_FF 하위 모듈8-bit Shift Registertestbench 코드8-bit Register8-bit Shift RegisterSimulation8 ... 따라서 q값을 wire로 선언해주었다. 8-bit Shift Register비트연산자를 이용해 dir이 ‘1’이면 shift right, ‘0’이면 shift left하도록 구현했다
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.17
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    Shift register를 이용한 multiplier 설계이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... 디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다. ... Shift registersshift register는 flip-flop 여러 개를 합쳐 놓은 소자로, clock의 한 주기가 지날때마다 연결되어있는 register의 data가 한
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 홍익대학교 디지털논리실험및설계 9주차 예비보고서 A+
    1.1 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 확인하고 (MR)’의 역할에 대하여 설명하시오. ... 왜 입력이 A와 B로 나누어져 있는지 설명하시오.우선 8-bit Serial-in Parallel-out Shift Register 74164에서 Vcc와 GND를 몇 번 pin에 ... D Flip-flop의 (CLR)’ 각각이 하나의 입력 신호 (MR)’로 묶여져 있기 때문에 (MR)’에 Active 입력 신호를 주면 8개의 D Flip-flop이 일괄적으로 Reset
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 논리회로설계실험 8주차 register 설계
    4.1) 8-bit Register8-bit register의 출력 wave는 위와 같이 나왔다. ... 이러한 과정이 반복되고, 가장 오른쪽 1-bit register의 input은 SHIFT_IN임을 확인할 수 있다. ... 1) Objective of the Experiment(실험 목적)이번 실습에서는 8-bit register8-bit shift register를 structural modeling으로
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • [논리회로실험] 실험7. Shift Register 결과보고서
    예상결과 Truth table과 일치한다.2) 실험 2 : 5bit Shift Right Register- 5bit shift right register를 구성한다.- 9번과 8번 ... 실험 과정 및 실험 결과1) 실험 1 : 6bit Shift Right Register- 6bit shift right register를 JK 플립플롭을 이용해 구성한다.- CLR을 ... 고찰이번 실험에서는 Shift Right Register와 순환 레지스터를 직접 구현해보고 결과를 통해 truth table을 작성하고 시프트 레지스터의 특성을 알아보았다.실험 1의
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • [논리회로실험] 실험7. Shift Register 예비보고서
    ) 실험 2 : 5bit Shift Right Register- 5bit shift right register를 구성한다.- 9번과 8번 핀에 0을 입력한다.- CLR로 모든 정보를 ... 실험이론1) Shift Register와 n비트 레지스터- 시프트 레지스터는 일련의 연결된 플립플롭으로써 잠정적 데이터 저장 능력을 갖추도록 하여 클럭 펄스가 들어올 때마다 저장된 ... 실험과정 및 예상 결과1) 실험 1 : 6bit Shift Right Register- 6bit shift right register를 JK 플립플롭을 이용해 구성한다.- CLR을
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • 홍익대 디지털논리실험및설계 9주차 예비보고서 A+
    왜 입력이 A와 B로 나누어져 있는지 설명하시오.8-bit Serial-in Parallel-out Shift Register 74164의 회로도이다. ... 실험 준비1.1 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 확인하고 MR’의 역할에 대하여 설명하시오. ... 111010100기본실험(1)에서 D Flip-flop을 이용하여 결선한 8-bit 시프트 레지스터와 결과는 동일하다.2.3 응용실험 (1)CLKQ0Q1Q2Q3Q3’??
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    8Bit Parallel Output Serial Shift Registers)1) 8개의 S -R 플립플롭으로 구성된 직렬입력 - 병렬출력 레지스터2) CLR = 0이면, 모든 레지스터의 ... 병렬출력74164(8Bit Parallel Output Serial Shift Registers)1) 8개의 S -R 플립플롭으로 구성된 직렬입력 - 병렬출력 레지스터2) CLR = ... Shift Register)1) 8개의 S -R 주종형 플립플롭을 직렬로 연결하여 구성한 직렬입력 - 직렬출력 레지스터2) 직렬 데이터를 A 로만 받아들이려면 입력 단자 B를 논리
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • 서강대학교 디지털논리회로실험 8주차 결과보고서
    Shift register에는 4가지 구조가 존재한다.① Serial-in, serial-out이 구성 bit수만큼 데이터를 지연시키는 역할을 한다.② Serial-in, parallel-out저장되는 ... 전송 및 수신 모듈의 내부에서는 마이크로 프로세서 또는 CPU와 같이 8-bit 단위로 데이터를 처리하는 소자들을 사용하기 때문에 데이터를 병렬 형태로 처리하는 것이 일반적이다. ... 모든 bits에 대해 개별적인 출력이 존재하며, 직렬데이터를 병렬데이터로 변경 시켜주는 역할을 하는 회로이다.③ Parallel-in, serial-outLOAD/SHIFT신호의
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서8
    실험소자는 5-bit Shift Register IC이다. 5개의 R-S 플립플롭 중 Preset 입력이 들어왔을 때, 동시에 클럭 입력을 받아 데이터가 다음 플립플롭으로 이동시키는 ... 왼쪽에서 오른쪽으로 이동하므로 shift-right 레지스터이값 Q0,와 Q1이 High 값을 가지고 클럭을 인가할 때마다 데이터 bit값이 1씩 Shift되었다.? ... 이 때 나는 결과보고서에 4-bit adder의 오버플로우를 방지하기 위해 4 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 디지털 회로 실험-시프트 레지스터
    관계 이론 요약74164 8비트 SIPO- 8개의 D-FF을 연결한 레지스터- A, B가 AND 되어 첫째 D-FF에 공급됨- CK이 High일 시 앞 FF의 내용이 뒤 FF으로 전달 ... 익히는 실험이다. 74164 8비트 SIPO 레지스터와 74194 유니버셜 레지스터의 동작을 예상해보는 실험이다.2) 결과와 이론 비교 : 실험1은 74164 8비트 레지스터 회로를 ... 실험순서실험순서1. 74164 8비트 시프트 레지스터 회로를 구성하고 다음 실험을 하시오.- 9번(CLR) 입력을 잠깐 Low로 보냈다가 High로 연결하여 Clear 한다.- 1번
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서8
    Register실험 과정: 준비한 결선도를 참고하여 6bit Shift Right Register를 74HC00과 74HC76을 이용하여 회로를 구성한다. ... Shift Register1) 실험목적1. 시프트 레지스터가 무엇인지 이해하고 종류를 파악한다.2. ... n bit 레지스터 : n개의 플립플롭Logic diagram입력에 따른 출력의 Shift?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    4bit shift register (4개의 플립플롭)? 8bit shift register (8개의 플립플롭)3. ... 각각의 비트마다 하나의 플립플롭이 필요하다. 예를 들면 8비트 2진수를 저장하는 레지스터는 8개의 플립플롭을 가지게 된다. ... 병렬 입력 - 직렬 출력(Parallel-In, Serial-Out)(5) 데이터 이동 방향? 우로 이동(Shift right)? 좌로 이동(Shift left)?
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 논리회로실험 예비보고서7
    -실험2) 5bit Shift Right Register (9번핀, 8번핀:0)① 7496을 이용하여 위와 같은 회로를 구성한다.② Clock Generator의 전압은 5V, 주파수는 ... ·n비트 레지스터-4비트 레지스터 :공동의 클럭을 입력을 하여 다음 상태로의 이동을 제어하고 4개의 JK 플립플롭을 동시에 상승 펄스로 데어테를 레지스터에 저장한다. ... 이번 실험을 통해 6bit Shift Right Register에서 데이터가 6비트 저장능력을 가지고 오른쪽으로 이동하는 것을 확인할 수 있을 것이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 디지털 논리실험 9주차 예비보고서
    8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 보면  VCC, GND, 입력 값 A와 B, , ... 만일 B에 1을 넣어준다면 A의 입력 값이 시프트 레지스터의 입력 값이 되는 것을 확인할 수 있다. ... 만일 B에 0을 넣어준다면 A의 입력 값이 어떻든 간 에 시프트 레지스터에는 0이 입력 값으로 들어간다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 디지털 시스템 설계 및 실습 병렬 직렬 변환회로 설계 verilog
    따라서 병렬 입력을 갖는 시프트 레지스터를 이용하면, 병렬로 입력된 데이터를 매 클럭마다 1비트씩 출력시키는 병렬-직렬 변환회로도 설계할 수 있다. ... 코드1) Shift_Register.vmodule Shift_Register(clk,load,rst,din,sin,sout,qout);input clk, load, rst;input ... 실습목적레지스터는 데이터를 저장하기 위해 사용되는 기억장치다. 레지스터의 종류는 다양하며, 시프트 레지스터는 클럭이 입력될 때 마다 저장된 데이터를 1비트씩 이동시킨다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    (1)직렬입력-직렬출력 레지스터7491(8Bit Shift Register)은 직렬입력-직렬출력은 8개의 S R 주종형 플립플롭을 직렬로 연결하여 구성한 직렬입력한다. ... 3개의 레벨 중 하나를 가질 수 있다라는 것을 뜻한다.74164(8Bit Parallel Output Serial Shift Registers)는 8개의S R 플립플롭으로 구성된 ... 정보를 저장할 수 있는 2진 기억소자의 집합체이다. n비트 레지스터는 n개의 플립플롭으로 구성되고, n비트의 2진 정보를 저장할 수 있다.
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 디지털 논리회로 실험 9주차 Shift Register 결과보고서
    Register 74164를 이용하여 8-bit 시프트 레지스터를 구현하고 동작을 확인하시오. ... 기본실험(2)에서 사용했던 8-bit Serial-in Parallel-out Shift Register 74164에 마지막 출력값인 �궜謗� 선을 하나 빼서 NOT gate에 연결하여 ... 이런 식으로 클럭이 상승할 때 D의 값이 받아져 �궜玭� 이동하게 되고 �궜榴� 버림으로써 우측 시프트가 된다.(2) 8-bit Serial-in Parallel-out Shift
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    분류에 속하는 IC들을 정리하시오.1) 직렬입력-직렬출력n 비트 레지스터데이터 데이터입력 출력[7491 (8-Bit Shift Register)]2) 직렬입력-병렬출력n 비트 레지스터데이터입력MSB ... … LSB< 데이터 출력 >[74164 (8-Bit Parallel Output Serial Shift Register)]3) 병렬입력-직렬출력< 데이터 입력 >n 비트 레지스터MSB ... … LSB데이터출력제어 신호[74164 (8-Bit Parallel Output Serial Shift Register)]4) 병렬입력-병렬출력< 데이터 입력 >n 비트 레지스터MSB
    리포트 | 6페이지 | 4,000원 | 등록일 2019.12.04
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    실험 기기 및 부품8-bit Serial-in Parallel-out Shift Register 74164, D Flip-flop 7474, NOT 74045. ... 실험 준비8-bit Serial-in Parallel-out Shift Register 74164의 data sheet를 확인하고bar { MR}의 역할에 대하여 설명하시오. ... Serial-in Parallel-out Shift Register 74164 역시 기본 실험 (1)의 4-bit Serial-in Parallel-out 시프트 레지스터처럼 클럭이
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대