• 통큰쿠폰이벤트-통합
  • 통합검색(29)
  • 리포트(29)

"2x1 Mux source" 검색결과 1-20 / 29건

  • 서강대학교 디지털논리회로실험 레포트 4주차
    \* ARABIC 2. 74x151 multiplexer와 그 진리표Multiplexer를 이용하면 n개의 data source를 하나의 buss를 이용하여 전송할 수 있다. ... 그림 2는 8-to-1 mux인 74x151 과 그의 진리표를 보여준다.그림 SEQ 그림 \* ARABIC 1. n개의 입력을 갖는 b-bit multiplexer그림 SEQ 그림 ... 4-to-1 mux의 기능을 spartan-3 libraries guide의 M4_1E의 진리표와 비교하며 서술우선 아래 그림 14는 M4_1E의 진리표이다.그림 SEQ 그림 \*
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 전기전자기초실험 Combination Logic Circuit Design 결과레포트 (영어)
    B : D7○ ○ ○X Y Z○ : off[0]● : on[1]3. ... verilog source0~200ns200ns~500ns500ns~800ns800ns~1000nsS (S1,S0)00011011YI0I1I2I3Timing analyzer Compile ... MUX(M2),MUX_2_TO_1 M3(W1,W2,Y,S2); //and the output of M1,M2 go to 2 to 1 MUX(M3).
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.01
  • Verilog-2x1 Mux설계-정진균
    - 6bit Adder 설계2x1 Multiplexer2x1 Multiplexer >> 2x1 Mux_Source2x1 Multiplexer >> Test Bench Source2x1 ... Multiplexer >> compile2x1 Multiplexer >> Simulation ... Report< Verilog - 2x1 Multiplexer >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 9월 28일학 번 : 200711061이 름 :김성현Verilog
    리포트 | 3페이지 | 1,500원 | 등록일 2012.03.28
  • 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    다르고,모양은 동일1μs1MHz0111주기는 다르고,모양은 동일0.1μs10MHz0입력D0D1D2D3D4D5D6D7※분석- 여기서 사용한 74151 MUX 는 8개의 Data source ... ※분석 : select input 에 따라 switch 기능을 수행하는 MUX, DEMUX 는 한번에 하나의 Data source를 연결한다.만약 MUX의 입력신호가 여러개이고, DEMUX ... *연습문제1) n - to 2^n 디코더는 2^n 개의 모든 minterm 출력을 내는 AND array 로 보면 n 개의 입력변수를 갖는 조합논리회로 설계에 유용할 수 있다. 3x8
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • 논리회로실험-2014-Multiplex
    , 8x1 mux를 설계하기 이전에 2x1 mux의 설계해 component를 통해 8x1 mux를 설계할 수 있다.[ 그림 2 ] 2x1 mux2x1 mux는 입력데이터 i(0), ... _1(3)temp_1(1)temp_1(2)temp_2(0)temp_2(1)s(0)s(0)s(0)i(2)i(3)i(4)i(5)i(6)i(7)[ 표 2 ] 8x1 mux선택출력선택출력s ... +s BULLET i12x1 mux의 설계는 with/select/when문을 이용하면 간단하게 작성할 수 있다.temp_1(0)i(0)i(1)s(0)s(1)s(1)s(2)otemp
    리포트 | 7페이지 | 1,000원 | 등록일 2014.11.05
  • 아주대 논리회로실험 실험예비4 멀티플렉서와 디멀티플렉서(Multiplexer & Demultiplexer)
    ***→ S가 1일 때는 D1, S가0일 때는 D0값을 Y의 출력으로 내보냄을 알 수 있으며, 2X1 MUX로 동작한다.(2) 다음 회로도의 Tri-state buffer와 inverter를 ... → Y의 출력을 살펴보면 S가 1일 때는 D1을 출력으로 내보내고 S가 0일 때는 D0를 내보냄을 알 수 있다. 2X1 MUX로 동작한다.(3) 74138, 74139 디코더 칩의 ... 이것은 완전하게 결선된 회로 상태에서 원하는 데이터 입력원(input source)을 선택하는 응용에 자주 사용되며 아날로그먹스와 디지털먹스가 있다.SY0B1AIC로 만들어진 MUX
    리포트 | 7페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [A+ 예비보고서] 아주대 논리회로실험 실험4 '멀티플렉서 & 디멀티플렉스'
    BULLET S#``````````````+B BULLET bar{S}입력출력S1S0Y3Y2Y1Y0SY00000D0100D00B100D001A11D0004x1 먹스의 회로도IC로 ... 만들어진 MUX(single 1, dual-2, quad-4, hex-6)IC decoder로 구현한 DEMUX- 여러 개의 입력 중 원하는 입력을 출력으로 내보내는 일종의 데이터 ... 선택기(switch or selector)- 결선된 회로 상태에서 원하는 데이터 입력원(input source)을 선택하는 응용에 자주 사용- 아날로그먹스와 디지털먹스- 먹스와 반대의
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • [4주차] Multiplex
    (S1, S0)이 00이라면 입력 w와 같고, 01이라면 x와 같으며, 10이라면 y와 같고, 11이라면 z와 같다. ... Mux는 2 to 1 Mux를 사용하여 설계된다. )2. ... Sources &Results1) VHDL source-----------------------------------------------------------------------
    리포트 | 11페이지 | 2,000원 | 등록일 2012.06.30
  • verilog, 베릴로그, 베릴로그로 짠 32x32 레지스터파일
    register file┣ 32bit register * 32┣ 32by1 MUX ( read register select line ) * 2┣ 5-to-1 decoder ( write ... register select line ) * 1┗ wr_reg_sel ( for negedge write )2. ... on negative edge of 'write' )◎32by1 MUX to select a register to read3.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.10.13
  • combinational logic circuit design(결과)
    MUX_8_TO_1(I0,I1,I2,I3,I4,I5,I6,I7,S0,S1,S2,Y);inputI0,I1,I2,I3,I4,I5,I6,I7;input[1:0]S2,S1;// 4:1 MUX ... S2);// 4:1 MUX RecallMUX_4_TO_1 M2(I4,I5,I6,I7,Y2,S2);// 4:1 MUX RecallMUX_2_TO_1 M3(Y1,Y2,Y,S0);// 2 ... :1 MUX Recallendmodulemodule MUX_4_TO_1 (I0,I1,I2,I3,Y,S);// 4:1 MUX designinput I0, I1, I2, I3;input
    리포트 | 9페이지 | 1,000원 | 등록일 2011.07.09
  • 아주대 ac 기전실 예비보고서 1 2 3
    짧은 주기를 갖는 신호나 긴 주기의 신호를 조절하여 스코프의 화면에 나타나도록 한다. ( X-Y : CH1의 전압변화가 X축에 , CH2의 전압변화가 Y축에 나타난다.* X-Y MODE ... Funtion generator① 함수발생기(Function Generator) 개요 및 원리함수발생기(Function Generator)는 회로에서 필요로 하는 여러 가지 signal source를 ... , 결과 화면의 scale을 조절하고, 정현파를 측정할 수 있다.2.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.09.01
  • 논리회로 설계실험 mux
    Implementation8x1 MUX를 구현하기 위해서는 2x1 MUX가 필요하다. 2x1 MUX(source code file name: mux2.vhd)의 입력포트는 i(std_logic_vector ... 2x1 MUX를 구현해야 한다.그림 2x1 MUX2x1 MUX는 말 그대로 2개의 입력을 받아 선택선에 따라 1개의 출력을 내보내는 MUX이다. ... 우선은 2x1 MUX를 구현? 2x1 MUX 7개를 연결? 어떻게 연결해야 하는 가를 결정? 각 2x1 MUX의 입력과 출력은 무엇인가??
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 연세대 전기전자 기초실험 09년도 레포트 결과 8 Basic Logic Circuit Design
    made 8×1 multiplexer consists to two 4x1 mux and one 2x1 mux.4x1 mux code was in the textbook and we ... could make 2x1 mux by application this. ... Next is 8x1 multiplexer verilog HDL code.module MUX_4_TO_1 (I0,I1,I2,I3,Y,S);inputI0,I1,I2,I3;input[1
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • Vhdl을 이용한 8x1 MUX 설계
    그후 new source 명령을 통해 8x1 MUX를 설계할 소스파일을 하나 생성한다. 그 후 component 명령어를 사용하여 앞에서 설계했던 2x1 MUX를 불러온다. ... 1. Purpose2x1 MUX 7개를 사용하여 총 3개의 셀렉트 단자를 갖는 8x1 MUX를 설계하고 입력에 따른 MUX의 동작 특성을 이해할 수 있다.2. ... 그림과 같은 8x1 MUX를 설계하기 위해 우선적으로 2x1 MUX를 설계한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • 아주대논리회로실험 4장.멀티,디멀티플렉서 예비(기본구성+빵판+예상결과)
    4개의 입력원 D0, D1, D2, D3 중 하나를 선택하여 그 값을 출력 Y로 보낸다.IC로 만들어진 MUX는 다음과 같다.※ single는 1개, dual은 2개, quad는 ... 이 회로를 확장하여 선택 핀과 데이터 입력을 늘리게 되면 4x1, 8x1, 16x1 등의 먹스를 만들 수 있다.다음은 4x1 먹스의 회로도이다. 2개의 선택입력 S0, S1을 이용해 ... 다음의 그림은1x4 디먹스의 회로도와 진리표이다.선택입력출력S1S0Y3Y2Y1Y000000D0100D0100D0011D000회로의 원리는 먹스와 마찬가지로 입력 D를 어떤 AND 게이트로
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.21
  • 전기전자기초실험 Chapter 8 Combination Logic Circuit DesignPre-report
    :1 multiplexer has four data inputs, one output, and two control inputs.- 2】1 multiplexerThis multiplexer ... For example, a 2:1 multiplexer has two data inputs, one data output, and one control input, while a 4 ... ********************* mux, demux 客 厚搅茄 屈侥栏肺 内爹窃 *****module 7_seg (in, seg)input [3:0] in;output [6:0
    리포트 | 6페이지 | 1,000원 | 등록일 2011.12.18
  • Chapter 8(pre)
    8-5. verilog HDL source for 4x1 MUX와 1x4 DEMUX1-2. decoder and encoderDiscrete data is expressed as ... T/F table.module MUX_4_TO_1 (I0, I1, I2, I3, Y, S);inputI0, I1, I2, I3;input[1:0]S;outputY;regY;always ... D2 D3 D4 D5 D6 D7X Y Z1 0 0 0 0 0 0 00 1 0 0 0 0 0 00 0 1 0 0 0 0 00 0 0 1 0 0 0 00 0 00 0 10 1 00 1
    리포트 | 14페이지 | 1,000원 | 등록일 2011.04.04
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    Design a 2x1 MUX- Describe its input output signals2x1 MUX의 입력은 i0와 i1의 두개의 bit이고, 출력은 Z이고 1bit이다. 2x1 ... 실험 목적이번 실험은 MUX와 DEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... 이 회로를 확장하여 선택 핀과 데이터 입력을 늘리게 되면 4x1, 8x1, 16x1 등의 먹스를 만들 수 있다.다음은 4x1 먹스의 회로도이다. 2개의 선택입력 S0, S1을 이용해
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • VHDL-Post lab - Mux and DeMUX
    하지만 2x1 MUX 의 의미상 해석은 위에서 보는 것과 같이 동일하다. ... - make a input/output pin assignment- write the test bench for the 2x1 MUX- Do a simulation4. ... Design a 2x1 MUX-Do a waveform simulation-download the data into the kit-make an experiment using the
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • 실험 4. 멀티플렉서와 디멀티플렉서
    buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 2-State(2상태) 출력을 갖는다. ... 예 비 보 고 서(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit ... 74HC139을 이용한 1x4 디멀티플렉서입력출력DS1S0Y3Y2Y1Y01XX111100011100011101010101101101113.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.03.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대