• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,267)
  • 리포트(1,155)
  • 자기소개서(51)
  • 시험자료(35)
  • 방송통신대(13)
  • 논문(12)
  • 서식(1)

"카운터 설계 결과" 검색결과 1-20 / 1,267건

  • 동기 카운터 설계 결과레포트
    결과표동기 카운터 설계 회로사진→ 와 클락 파형 비교→ 와 클락 파형 비교→ 와 클락 파형 비교2. ... 기초회로실험2 결과레포트실험제목동기 카운터 설계학 과학 번성 명실험 조지도교수1. ... 고찰동기카운터설계해서 위에 그림과 같이 나오게 회로를 구상하고 클락과 파형을 비교해 보았다. 오실로스코프 프로브가 불안정해서 파형이 찌그러지게 나왔다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.12.19
  • 비동기 카운터, 동기 카운터 설계 결과레포트
    비동기 카운터, 동기 카운터 설계결과레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 실험 결과1) 비동기 카운터(1) QA vs QB(2) QA vs QC(3) QA vs QD- 결과를 바탕으로 완성된 그래프2) 동기 카운터 설계(1) QA vs QB(2) QA vs ... 노이즈를 빼고 측정 결과를 확인하기 위해 오실로스코프의 time축을 축소해 측정한 뒤, 확대하여 노이즈가 미치는 영향을 줄여 측정하니 결과 확인이 도움이 되었다.2) 동기 카운터 설계동기
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • A+ 결과보고서_카운터 설계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • Leading one 카운터 설계 결과보고서
    설계하였다. ... 앞에 나온 ‘1’개수만 카운터하므로 for loop를 이용하여 중간에 ‘0’이 있으면 카운트 동작을 멈추고 값을 출력하게 하였다 ... i+1; end if; count := count +1; end loop;고찰 이 실습에서는 이진벡터에서 앞에 나온 ‘1’의 개수를 카운트하는 Leading one카운터
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.16
  • 아날로그 및 디지털 회로 설계 실습 결과보고서11 카운터 설계
    설계실습 방법비동기 8진 카운터 설계(D) 버튼을 한 번씩 눌러 가면서 카운터가 정상적으로 동작하는 지 확인, 그 결과를 제출한다. ( Chattering 방지 회로 추가하여 설계한 ... 설계(B) 설계실습 계획서를 참고하여 16진 동기 카운터를 결선한다.16진 동기 카운터를 위와 같이 설계하였다. ... 아날로그 및 디지털 회로 설계 실습-실습11. 카운터 설계-학 과 :담당 교수님 :제출일 :조 :학번 / 이름 :11-4.
    리포트 | 11페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 아날로그 및 디지털 회로 설계 실습 결과11-카운터 설계
    요약 : JK Flip Flop을 이용한 동기식, 비동기식 카운터설계해보는 실험이었다. ... 또한 이러한 카운터설계할 때 반드시 필요한 CLK 신호의 frequency에 따라 그 출력 값이 원하는 대로 나오지 않을 수도 있다는 것을 알게 되었다. ... Function Genrator로 가해준 파형에 맞춰서 카운터가 올라가는 것을 LED로 확인할 수 있었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.03.29
  • 중앙대학교 실험 결과 보고서(카운터 설계)
    과목명담당 교수학과학번실습일결과 보고서10.전자전설계실습 10.1-4 설계실습 방법(비동기식 4진 카운터 회로)CLK가 falling edge일 때 값이 변한다.값이 변하는 것은 이전상태를 ... (C) JK Flip flop을 하나 더 사용하여 16진 비동기 카운터설계하고 동작 검증사진과 함께 결과를 기술하라.=> 다른 조의 실험을 통해 확인1-4-2 리셋회로를 사용한 ... 10진 비동기 카운터 설계(10진 카운터의 회로 모습)(A) 10진 카운터의 회로를 결선하고 회로 사진을 보여라.=> 다른 조의 실험을 통해 확인(B) 출력 Q1, Q2, Q3, Q4에
    리포트 | 4페이지 | 1,000원 | 등록일 2020.01.13
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)11. 카운터 설계
    실험결과11-4. 설계실습 방법11-4-1 비동기 8진 카운터 설계(A) 그림 11-1과 같이 회로를 결선한다. ... (실험목적과 중요한 결과를 함축적으로 표현한다.)JK Flip Flop을 이용하여 동기식 16진 카운터, 비동기식 8진, 16진 카운터설계해 보았다. ... 문제를 해결하였는지기술하고, 이 설계실습을 통하여 배운 점과 느낀 점 그리고 앞으로 개선할 점 등에 대하여 논한다.회로가 제대로 동작하였으며 예상했던것과 동일한 결과가 나왔으며 카운터
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.10
  • [중앙대 아날로그및디지털회로설계실습]설계실습11(카운터 설계) 결과보고서
    설계실습 결과(1) 비동기 8진 카운터(A) 비동기 8진 카운터를 다음 사진과 같이 설계했다. ... 결론JK Flip Flop을 이용한 동기식, 비동기식 카운터설계해본 결과 모두 정상 동작하였다. ... 서론비동기 8진 카운터, 비동기 16진 카운터, 동기 16진 카운터설계하고 정상 동작하는지 확인했다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.11
  • 11. 카운터 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 11 결과보고서-카운터 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :11-4. ... 알 수 있다.11-4-2 비동기 및 동기 16진 카운터 설계(A) 설계실습 계획서를 참고하여 16진 비동기 카운터를 결선한다. ... 설계실습 방법11-4-1 비동기 8진 카운터 설계(A) 그림 11-1과 같이 회로를 결선한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 디지털시스템실험 - 동기식 UP/DOWN 카운터, 순차회로 설계 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Sequential ... 동기식 UP/DOWN 카운터설계한다.2. ... 카운터를 이용한 Sequential Circuit을 설계한다.실험결과본 실험을 통해 동기식 UP/ DOWN 카운터와 순차회로를 설계하였습니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2020.11.14
  • 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    서론설계실습계획서에서 8진 비동기 카운터 회로에 대하여 Timing chart로 Simulation한 결과, 실험에서 스위치 역할을 대신하는 clk 신호에 의해 각 JK flip flop의 ... 상태에 따라 이진수 000에서 111까지, 그리고 다시 000으로 초기화되는 모습을 확인했다. 16진 동기 카운터의 경우, AND 게이트두개를 추가하여 설계하였으며, 공통 CLK에 ... 요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 디지털실험및설계 결과4(카운터)
    디지털 논리실험 및 설계#4 카운터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 20조 :학번 :이름 :1. ... 실험은 비동기식 업/다운 카운터결과 값을 표로 작성 한 것이다.앞에 실험의 결과 값과 비교해 보면 큰 차이가 없다. ... 결과 값을 확인해보면 앞의 두 실험의 업 카운터와같은 값이 나온다.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 논리회로설계실습 순차회로(카운터) 결과보고서
    논리회로설계 실험 결과보고서 #8실험 8. 순차회로 설계 - 카운터1. ... 실험 결과 1Hz 8비트 존슨 카운터와 링 카운터설계하시오. ... 실험 목표카운터, 클럭 분주기의 동작 원리를 이해하고 이를 이용하여 1Hz 8비트 존슨 카운터와 링 카운터설계한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.10
  • 디지털실험및설계 결과5(카운터2)
    디지털 논리실험 및 설계#5 카운터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 27조 :학번 :이름 :1. ... 특히 10진 카운터설계할 때에1010이 되면 클리어를 시키는 방법으로 설계를 할 수도 있고, 동기식 10카운터설계할 때에는 입력 J, K에 따른 Q값을 확인하여 원하는 출력을나오게 ... 동기식 십진 카운터는 실험 결과가 같게 나왔다.한 CLK당 십진 수로 1씩 올라갔고 10에서 다시 0으로 초기화 되는 모습을 볼 수 있었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.05
  • 순차회로 설계 - 카운터 결과보고서
    고찰- 단순 VHDL 코딩 후 시뮬레이션으로 결과를 확인하는 것이 아닌 RoV Lab3000이라는 기기를 이용하여 실제로 결과를 관찰하는 실험을 진행하였다.- 존슨 카운터 설계에서 ... 실험 결과- 실험 1. 4비트 비동기식 업카운터 설계(1) 소스 코드- 변수 Y에 2진법으로 0~9까지 오름차순으로 값이 카운팅되면 해당하는 수를 1개의 7 segment로 출력하는 ... 과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - 카운터담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 22논리회로설계
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8실험 8. 카운터 설계1. ... 실험 결과실험 1. 8비트 비동기식 업카운터 설계(1) 설계 내용1) 소스 코드2) 핀할당3) 7segment 표시결과4) 결과 분석이번 실험을 하기 전 작성했던 예비보고서에서 설계했던 ... 고장전부 off5) 결과 분석예비보고서에서 설계했던 존슨카운터를 바탕으로 실험을 진행하였다.카운터는 8Hz를 목표로 설계되었으므로 주기는 0.125초이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 카운터 설계( 결과보고서), 36진 카운터
    결과 및 느낀 점우리가 설계한 36진 카운터는 00부터 35까지 7-segment에 숫자로 출력되고 다시 00으로 리셋 되는 카운터 이다. 카운터를 동작시키려면 4.2. ... 결과가 있기도 하기 때문에 이 다이얼을 약간 낮게 조정을 해보았더니 마침내 숫자가 2씩 올라가지 않고 1씩 차례대로 카운터가 되었다. ... 설계하려는 카운터 진수와 동작원리우리가 설계하려는 카운터는 36진 카운터이다. 이 36진 카운터는 0~35까지 7- segment에 출력된다.
    리포트 | 12페이지 | 2,500원 | 등록일 2010.07.12
  • 동기 및 비동기 카운터회로 설계결과보고서
    설계 실험결과비동기 계수기 회로도실험 결과사진이 너무 많은 관계로 1~6까지 LED출력만 올렸습니다.결과 분석우선 첫 번째 설계는 비동기 계수기를 만드는 것으로 앞에 있는 것의 출력이 ... 많은 관계로 1~6까지 LED출력만 올렸습니다.결과 분석두 번째 설계는 동기 계수기를 만드는 것으로 첫 번째 설계한 비동기 계수기에 비해 회로도가 복잡하지만 동기 계수기의 시스템은 ... 동작원리를 이해하여 16진 동기 계수기, 비동기 계수기를 설계하는 것이었다.회로가 비교적 간단하고 어렵지 않은 설계였기 때문에 비교적 쉽게 결과값을 구할수 있었다.우선 비동기 계수기부터
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • [카운터]2단 리플, 2단 동기식, 모드5 카운터 설계(제안서,결과보고서)
    명제▶ JK Flip-Flop, 7473, 7447, FND 507 및 AND, OR Gate 이용하여 2단 리플 카운터, 2단 동기식 카운터, 모드5 카운터설계하라.2
    리포트 | 8페이지 | 1,000원 | 등록일 2012.02.18 | 수정일 2015.12.26
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 16일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:30 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기