• 통큰쿠폰이벤트-통합
  • 통합검색(2,006)
  • 리포트(1,860)
  • 시험자료(104)
  • 자기소개서(17)
  • 방송통신대(12)
  • 논문(10)
  • 서식(3)

"시그널 종류" 검색결과 1-20 / 2,006건

  • 리눅스 시그널 종류 및 설명
    Linux Signal리눅스 시그널 종류 및 설명제출일전공과목학번담당교수조원1. ... Linux Signal종류- 리눅스 시그널은 62가지 종류가 있다.- Fedora 환경에서의 Signal- 34번부터 64번은 Real Time Signal이다.- Real Time ... 기존의 시그널시그널을 비트를 세팅하는 것으로 신고하가 전달 되었는지의 유무를 관리한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.08.06
  • [통신공학실습] 8주차 결과레포트
    Xm(t) , 캐리어 시그널 Xc(t) = 일 때 에 의해 fi값이 달라지고, 에서 fm값이 커짐에 따라 m 값이 작아지는 것 또한 설명할 수 있다.따라서 message signal의 ... 메시지 신호의 복원이 가능하다.FM의 블록 다이어그램을 그리고, 각 구성요소의 특징을 간략히 설명하라.Integrator : 신호 m(t)를 적분함으로써 phase modulated signal을 ... 만든다.Phase modulator : 고주파 carrier signal과 적분된 m(t)를 곱해서 FM 신호를 만든다.Limiter : 신호의 진폭을 일정하게 유지하기 위해 사용됨.Bandpass
    리포트 | 15페이지 | 1,500원 | 등록일 2020.02.14
  • 기기분석 및 실험 결과보고서 - 자외-가시선 분광광도계를 이용한 L-Ascorbic Acid 분석
    -LOD/LOQ 측정방법① 시각적 평가에 근거하는 방법: 기기를 사용하지 않는 분석법 뿐 아니라 기기 분석법에 대해서도 시각적으로 평가 할 수 있다.② 시그널(signal) 대 노이즈 ... -UV-Vis Spectroscopy의 원리: 바닥상태에 있는 원자나 분자는 그 종류에 따라 특정 파장의 자외 및 가시선을 흡수하며 전자 전이를 일으키면서 흡수 스펙트럼을 나타낸다. ... 시그널 대 노이즈 비는 기지의 저농도 분석대상물질을 포함한 검체의 시그널과 공시험 검체의 시그널을 비교하여 구하고, 일반적으로 3~2:1의 시그널 대 노이즈비가 산출되는 분석대상물질의
    리포트 | 5페이지 | 3,000원 | 등록일 2020.09.26
  • 경북대 생화학2 중간고사 요약정리 및 문제 족보
    4/5 생화학 (범위 14장까지)*세포내에서 시그널은 4가지 패턴- survive, grow+divide, 분화, 세포사멸=signal transduction(시그널 부여해주는 인자는 ... 부름=first massenger, 거대분자가 receptor 에 붙어 세포안에 들어오면 증폭을 시킴->증폭을 시키면 signal transduction의 상태가 됨. ... 수 있는거막이 하는 역할 1)정보받는 역할 2) 노폐물을 밖으로 내보내는 장벽 3)movement(유동성)박테리아- 미토콘드리아가 없어서 막으로 에너지를 만든다.미토콘드리아지질의 종류
    시험자료 | 7페이지 | 3,700원 | 등록일 2023.07.03
  • 대한민국 1프로가 될 수 있는 재테크 상식 핀테크 2023년도 중간+기말
    MACD는 장기 가중 이동평균과 단기 가중 이동평균의 차이로 이때 시그널(signal)은 n일 MACD의 가중 이동평균을 의미한다.- False9. ... P2P Lending 서비스의 종류는 크게 직접 중개형과 간접 중개형 2개로 구분된다.- True5.
    리포트 | 4페이지 | 3,000원 | 등록일 2024.06.11 | 수정일 2024.06.23
  • [물리학실험]라만분광법을 통한 그래핀의 광학특성 평가
    resonance Raman spectroscopy라하고 그렇지 않은 것을 normal Raman spectroscopy라고 합니다. resonance Raman spectroscopy법은 signal을 ... 즉, 라만 효과를 이용, 특정 분자에 레이저를 쏘았을때 그 분자의 전자의 에너지준위의 차이만큼 에너지를 흡수하는 사건을 통해 분자의 종류를 알아내는 방법이다. ... 라만시그널의 세기 I 는v ^{4}에 비례하고 △E에 반비례합니다.
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.30
  • 연애 프로그램의 대중적인 인기와 문제점에 대한 비판적 탐구
    공개를 앞둔 짝짓기 예능의 수는 총 25개에 이른다. 2018년 관찰 예능 형식을 차용한 연애 프로그램 ‘하트시그널’의 성공을 필두로 이와 유사한 짝짓기 예능이 생겨나기 시작했고, ... ‘짝짓기 예능’이란 예능 프로그램의 한 종류로, 일반인 남녀 간의 사랑을 다루는 것이 주가 되는 프로그램을 말한다. 이는 다른 말로 ‘러브 버라이어티’라고도 한다. ... 그 중 올해 가장 많이 제작된 프로그램의 종류 중 하나가 바로 일반인들 간의 사랑을 소재로 만든 짝짓기 예능이다.중앙일보의 분석에 의하면 2022년 올해 단 한 해 동안 방영이 되었거나
    시험자료 | 6페이지 | 1,500원 | 등록일 2022.10.22
  • FISH 판독 가이드 라인 PPT
    위양성이 높아 특별한 목적 이외 사용하지 않는다 .이중 형광 추가 신호 소식자 (Dual color extra signal probe) TEL/AML1(12;21) 이나 특별한 경우의 ... 단일 융합을 업그레이드 하여 두 color 유전자의 양쪽 breakpoint 를 다 중앙에 지정함으로써 , 융합 시그널이 1 개인 시그널 융합 소식자의 경우보다 인위적인 위양성을 현저하게 ... 단계를 거치지만 , 직접은 면역 조직 화학 단계를 가치지 않고 바로 대조염색 단계를 하여 판독함 .소식자 디자인 원리 목적 : 염색체의 구조적 수적 이상을 찾아 내기 위해 여러 종류
    리포트 | 18페이지 | 2,500원 | 등록일 2021.06.20
  • 유기화학실험2 prelab_ NMR Analysis of Methyl Benzoate
    즉 거의 모든 hydrogen보다 높은 field strength를 갖고, 상대적으로 다른 NMR signal이 downfield으로 보인다. ... TMS의 signal에서 downfield된 거리를 그 proton의 chemical shift라고 한다. Chemical shift는 ppm으로 측정된다. ... 자연에 존재하는 대부분의 carbon atom은 12C로 존재하는데, 이는 짝수의 proton과 neutron을 갖기에 magnetic spin을 갖지 않고, NMR signal
    리포트 | 2페이지 | 2,500원 | 등록일 2024.03.06
  • 천연물이용학에서의 NMR
    EDPT, APT, SFORD 측정.4) 이상의 결과를 모아서 구조를 추정하고, 유사구조와 data를 비교한다.마지막으로 필요에 따라서는 각 signal의 귀속을 검토한다. ... 등가 탄소의 수는 피크의 강도로부터 추정하고, 용매의 불순물의 피크에 주의.2) 화학적 이동을 이용하여 각 탄소의 특성(signal)을 분류한다. sp3, sp2, sp, 방향족 탄소 ... 측정시 주파수를 기록해 줄 필요가 있기 때문에 용매 종류는 반드시 기록해 두어야 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2019.11.05
  • 조선대학교 영어강독 1 기말대비 총정리본 (기출 문제 포함)
    다른 동물들은 향이나 몸의 움직임을 의사소통하기 위해 사용한다.Communication in both animals and humans consists of signals. ... These signals in these situations are designed to let others in the species know that something is wrong ... 30,000 and 100,000 years ago, the secret to how l movements to communicate.어떤 동물들은 의사소통하기 위해서 신호들, 시그널
    시험자료 | 27페이지 | 3,500원 | 등록일 2022.06.16
  • [인하대학교 기기분석실험] Signal Processing Techniques_예비보고서
    실험 원리1) 기기신호(instrumental signal)(1) 신호(signal): 화학자가 관심을 갖고 있는 분석물에 관한 정보(2) 잡음(noise): 분석결과의 정확도와 정밀도를 ... 실험 제목: An introduction to signal processing techniques3. ... 근본적으로 측정 과정에서 생기는 여러종류의 잡음을 피할 수 없기 때문이다. 대부분의 측정에서 잡음신호 N 의 평균 세기는 전체신호 S 의 크기에 무관하고 일정하다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.18
  • 2018년 2학기 면역학 중간고사 대비 예상 문제(경북대학교)
    1 및 signal 2에 대해signal 1은 microbial antigen이 독립적으로 B cell에 제공하는 signal이며, signal 2는 Helper T cell과 결합하며 ... CD586) T cell activation 관련 signal 1, signal 2 및 signal 3에 대해7) Cytotoxic T cells의 target cells 살해기전에 ... )6) Naive B cells과 memory B cells의 차이점에 대해7) Antibody에 의한 체액성 면역 방어반응에 대해8) B cell activation에 요구되는 signal
    리포트 | 5페이지 | 1,000원 | 등록일 2021.05.09
  • Filter design 예비보고서 [인하대 전자공학실험1]
    이용해 filtered signal을 얻는 과정이 과정을 나타낸 순서도는 [그림 3]과 같다.5. ... 신호에 Fourier transfom과 Filter 통과를 통해 시간 domain의 filtered signal을 얻는 과정은 다음과 같다.①: Time domain의 신호를 Fourier ... 얻는다.③ 이 신호에 다시 Inverse Fourier transform을 취하여 Time domain의 filtered signal을 얻는다.그림 3: Fourier transform을
    리포트 | 6페이지 | 1,000원 | 등록일 2022.02.20
  • (서울시립대 전전설3) [종합2등(A+), 성적증명] 11주차 예비레포트+LTSpice회로 - CS Amplifier Characteristics
    II.Summary of TheoriesII.1.Small-signal AnalysisOperating point에서 충분히 작은 AC signal을 입력했을 때 출력의 변화를 통해 ... I.IntroductionI.1.Goals본 실험에서는 여러 종류의 common source amplifier – source resistor를 포함하는 것, source bypass
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31 | 수정일 2022.01.04
  • ELISA의 4가지 원리, 특징
    결과적으로 sample 내 antigen이 많으면 signal이 줄어들고, 적으면 signal이 늘어나기 때문에 아래 그림처럼 일반적인 ELISA와는 그래프가 반대로 나오게 된다. ... Antigen을 coated-plate에 부착시킨 후, antigen과 conjugated antibody를 직접 결합시켜 signal을 측정한다. ... 대신 알려진 농도의 항체나 항원을 기초로 하는 표준 곡선이 준비되면, 표준 곡선으로부터 미지의 시료농도를 알아낼 수 있다.ELISA의 종류1.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.10.21
  • 동물발생학 chapter9 번역 및 정리본
    서로 영향을 주는 Induction.2. induction의 type1) permissive : 하나의 세포가 signal에 대한 오직 한 종류의 respond발생2) Instructive ... : 다수의 세포들이 농도가 다른 signal에 따라 서로 다른 respond발생3. cell signaling1) diffusion : signal transduction2) direct ... intercellular로 signal전달(intracellular transfer와 상관X)9-7 inductive signal의 반응은 세포의 state(상태)에 의존한다.9-
    리포트 | 2페이지 | 1,000원 | 등록일 2020.03.28
  • [A+]공정제어(Process Control) - Pneumatic Control Valve 제어의 이해
    Hold의 종류에 따라 다양한 같은 digital signal이라도 다른 analog signal로 변환된다.Control valve는 아주 중요한 actuator이다. ... 의해 조절된다. controller에서 내보내는 command signal은 4~20 mA signal인 경우가 많기 때문에 I/P transmitter를 사용해서 signal을 ... 이 때문에 command signal이 증가하거나 감소할 때 flow rate이 바로 조절되지 않고 lag가 발생하며, 같은 command signal이더라도 flow rate값에는
    리포트 | 38페이지 | 3,000원 | 등록일 2020.06.04 | 수정일 2021.02.11
  • 생리학 정리
    What is the four mechanism of stopping the signal from presynaptic neuron to postsynaptic neuron? ... A) enzyme expression 종류에 따라서 무슨 neuron이 무슨 transmitter를 secrete 하는지 알 수 있음.
    리포트 | 62페이지 | 7,000원 | 등록일 2024.07.01
  • ROM&RAM 설계
    값을 가지고 할당할 수 있는 것을 객체(object)라고 부르며, 객체의 종류signal, variable, constant로 나눌 수 있다. signal은 VHDL 합성 시에 ... 특히, 본 설계에서 사용하게 될 signal과 variable은 사용에 있어 구문이나, 특징에 있어 차이를 보이기 때문에 설계의 목적에 맞게 유의해서 사용해야 한다.Signal과 Variable의 ... Variable, ROM&RAM 설계-목차———————————————Signal 과 Variable-------------------------------------------p.3객체의 종류Signal
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 25일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대