• 통큰쿠폰이벤트-통합
  • 통합검색(19)
  • 리포트(17)
  • 자기소개서(2)

"디코더 베릴로그" 검색결과 1-19 / 19건

  • 고려대학교 디지털시스템실험 A+ 3주차 결과보고서
    이번 실험을 통해 배열을 표현하는 방법 등 여러 가지 베릴로그 문법에 대해 배울 수 있었습니다. ... 디지털 시스템 수업 시간에 배운 디코더를 직접 코드로 작성하고, 구현해 보니 디코더의 작동 방식을 더 정확히 이해할 수 있었습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.06.21
  • FPGA구조와 ASIC 설계 방법 실험 레포트
    설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다. ... 일반적인 하드웨어 기술 언어는 VHDL과 베릴로그가 있다. 전자 설계 자동화 도구를 사용하면 기술적으로 매핑된 넷리스트가 생성된다. ... 초기에 VHDL이나 Verilog (베릴로그)로 된 RTL 기술은 시스템을 시뮬레이션하고 결과를 관측하기 위해 생성된 테스트 벤치에 따라 시뮬레이션한다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    예상 결과본 실험에서는 자일링스 프로그램을 통해 조합회로인 mux, demux, encoder, decoder를 베릴로그 언어를 사용해 시뮬레이션을 진행하게 된다. ... 다음은 2 to 4 디코더의 진리표와 논리회로이다.4:2 decoder의 Karnaugh Map을 이용한 최적화하면 다음과 같다.A1/A0010Y0Y11Y2Y3MuxMux는 데이터 ... 진리표와 논리회로이다.4:2 Encoder의 Karnaugh Map을 이용한 최적화하면 다음과 같다.Y=D2+D3 X=D2+D3D1D301001110D1D301001110Decoder디코더
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    카운터가 계수한 이진수나 이진화 십진수가 디코더를 통해서 출력장치에 표시되는 숫자로 변환하여 인간이 알아볼 수 있는 정보가 된다. ... 실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다. ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 전자전기컴퓨터설계실험2(전전설2) (5) Encoder and Mux
    실험 목적본 레포트에서는 베릴로그 HDL을 사용하여 조합 논리를 설계 및 실험한다. ... 이것은 알려진 디코더의 작동과 일치하므로 3 × 8 디코더가 적절하게 구현되었음을 확인할 수 있다.3.2. 4 × 2 Encoder아래는 위의 과정으로 작성한 4 × 2 인코더의 소스코드 ... 인코더와 디코더, MUX와 DEMUX를 행위수준 모델링으로 구현하고, 설계한 논리를 시뮬레이션하기 위한 테스트 벤치를 작성하고 장비로 동작을 확인한다.2. 실험 이론2.1.
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 한화시스템 방산 자기소개서+면접질문 [취업 완벽대비]
    ‘지뢰찾기’게임을 주제로 선정하여 콤보 보드에 탑재된 FPGA와 다양한 입출력 장치에 베릴로그 코딩을 통해 이를 구현하는 것이었습니다. ... 가장 어려웠던 점은 7세그먼트, 플립플롭, 디코더, 레지스터 등의 논리회로를 모두 사용하여 원하는 프로그램을 구현하며, 동시에 조원과 함께 협업하며 코딩하는 것이었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.02.02
  • 7세그먼트FND디코더 verilog 설계
    제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF사이의 한 자리 16진수를 한 자리 7-세그먼트에 출력하고, 8비트의 슬라이드 스위치로 입력된 두 자리 16진수를 출력하기 위해 디코더를 ... 설계한다,실습 내용실습결과논리식공통 음극 방식 7-세그먼트 디코더 진리표10진수입력(bcd[3:0])출력(fnd_data[7:0])bcd[3]bcd[2]bcd[1]bcd[0]abcdefg
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • SK하이닉스 설계 최종 합격 자기소개서(자소서)
    당시 2학년으로 전공에 대해 많이 공부하지 않았던 저는 베릴로그 상에서 최대한 구현할 수 있는 간단한 게임을 만들자고 의견을 내었고, 다른 팀원도 동의하여 외부 8x8 LED dot ... 저는 게임 규칙과 점수 표시 모듈을 작성하였고, 다른 팀원은 디코더와 피에조, matrix data I/O모듈을 작성하였습니다.외부 출력으로 연결한 8x8 LED 표시장치를 연결하는중
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.13
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 5.Combinational-2 - 예비+결과+성적인증 (서울시립대)
    실험 목적1. Design various combinational logic circuits in Verilog & verify circuits with their test fixtures 2. Practice how to read and analyze technolo..
    리포트 | 25페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.14
  • 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    본 코드에서 설계된 디코더는 2개의 입력으로 2bit의 binary 수를 입력받아서, 2의 2승, 즉 4개의 출력회선의 번호가 binary값에 해당하는 번호에만 1을 출력하는 디코더이다 ... MUX의 특성이 좀 더 잘 드러나게 시뮬레이션하기 위해 selection 신호 값을 결정할 때마다 다른 set data, 즉 입력된 신호의 값을 다르게 주었다.3. 2x4 decoder디코더
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    출력을 하는 과정을 베릴로그 언어를 이용해 BCD 코드마다 각 세그먼트 a, b, c, d, e, f, g에 입력 값을 설정하고 출력하는 과정을 실험하였다. ... 고찰Seven-segment display의 원리에 대해 배우고 BCD코드를 기반으로 실제 논리게이트에서는 디코더(7447)을 통해 BCD코드를 세그먼트 신호코드로 바꿔주고 세그먼트
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 3-8 decoder verilog code (+test bench code), 3to8 decoder. 3-8 디코더
    module TTL74137 (G1, G2n, GLn, A, B, C, Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7); input G1, G2n, GLn, A, B, C; output Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7; reg Y0..
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.13
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험15) 상태도(State Diagram) 구현
    《 실험15 예비 보고서 》조제출일학과/학년학번이름3) 에서 코드가 빠진 부분을 채워라.? 코드? 시뮬레이션《 실험15 결과 보고서 》조제출일학과/학년학번이름실험 (1) p201에 주어진 상태도를 Verilog HDL로 구현하라.? 코드? State Diagram실험 ..
    리포트 | 4페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [Flowrian] One-to-Ten Decoder (TTL 7442) 회로의 Verilog 설계 및 검증
    (TTL 7442)회로의 Verilog 설계 및 검증동작 사양- TTL 7442 회로는 4 비트의 BCD 값을 입력 받아 그 값에 해당되는 출력 단자에만 ‘0’ 값을 출 력하는 디코더
    리포트 | 10페이지 | 1,000원 | 등록일 2014.04.09
  • [디지털시스템실험(Verilog)] Multiplexer 예비보고서
    이를 이용하면 1bit의 gate로 32bit의 다른 input을 만들 수 있는 다른 방법이 있을 것 같아 베릴로그 문법과 관련하여 공부해 보았으나, shifter를 이용한 정확한 ... (논리회로도는 생략한다)32 to 1 MUX는 selection input이 5개, input이 32개이다.MUX 내 디코더의 NOT게이트는 5개, AND게이트는 32개가 될 것이다 ... input값 중 단 하나의 input 값만이 선택되도록 해야 하기 때문이다.따라서 16 to 1 MUX는 selection input이 4개, input이 16개이다.MUX 내 디코더
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험13) 시프트 레지스터와 카운터의 설계
    《 실험13 예비 보고서 》조제출일학과/학년학번이름2) , , 그리고 에서 코드가 빠진 부분을 채워라.① 시프트 레지스터(Shift register)? 코드? 시뮬레이션5② Up-down 카운터? 코드? 시뮬레이션5③ BCD (Binary-Coded Decimal) 카..
    리포트 | 9페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [Flowrian] Mod-10 인코더 & 디코더 회로의 Verilog 설계 및 시뮬레이션 검증
    Behavior 형식 Mod-10 디코더 회로의 Verilog 설계 및 검증5. Structure 형식 Mod-10 디코더 회로의 Verilog 설계 및 검증6. ... Mod-10 인코더 & 디코더 회로의 사양2. Behavior 형식 Mod-10 인코더 회로의 Verilog 설계 및 검증3. ... Mod-10 인코더 & 디코더 회로의 동작은 Verilog 언어가 제공하는 두가지 방식, Behavior 와 Structure 관점에서 전가산기의 논리동작을 모델링한다.Verilog
    리포트 | 17페이지 | 1,000원 | 등록일 2011.12.08
  • 디코더와 MUX 예비, 결과레포트, velilog 파일 첨부 포함
    디코더와 MUX 예비, 결과레포트, velilog 파일 첨부 포함베릴로그 파일 원본까지 모두 첨부해서 했습니다.
    리포트 | 1,000원 | 등록일 2008.11.27
  • [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    1. 2x4 Decoder① Dataflow Modeling코드컴파일 화면컴파일 후 Warning문장을 포함한 Message 화면총 4가지의 Warning문장이 나오는데 첫 번째는 단순히 소프트웨어 가입을 권하는 것이고, 2번째는Critical Warning으로 7개 ..
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대