• 통큰쿠폰이벤트-통합
  • 통합검색(14,285)
  • 리포트(13,056)
  • 시험자료(472)
  • 자기소개서(443)
  • 방송통신대(245)
  • 논문(51)
  • 서식(13)
  • 이력서(3)
  • 노하우(2)

"디지털 신호등" 검색결과 1-20 / 14,285건

  • 디지털시스템설계 신호등설계 VHDL A+자료
    상태NEXT_STATE : 다음상태에 올 신호등 변화여부NEXT_STATE_WORD : 다음상태에 올 신호등 상태입력 값 SIG_V와 SIG_P의 값을 저장하는 SIGVorP의 값이 ... ‘RST=1’ 일 때, 기기 초기화 조건이므로 ‘SIGVorP’에 초기조건 ‘zero’라는 값을 저장한다.또는 현재상태가 ‘D‘ 상태, 즉 AVE STREET과 보행자 신호등의 초록등이 ... CLK RST SIG_VSIG_P
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 아날로그 신호디지털 신호의 차이점을 1) 정의, 2) 파형의 형태, 3)우리주변의 예, 4) 신호의 표현 등을 기술하시오.
    디지털화(digitized)되었다고 말합니다. ... 일단 신호디지털 형태로 되면,?디지털 회로(digital circuit)를 이용하여 그 신호를 처리할 수 있습니다. ... 이때 각각의 수는 특정한 순간의 신호의 크기를 나타냅니다. 이와 같은 신호를?디지털 신호(digital signal)라고 부릅니다.
    리포트 | 6페이지 | 2,500원 | 등록일 2024.05.23
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    .--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 디지털공학실험 08. 신호등 결과
    < Traffic Light 결과보고서>VHDL 코드[VHDL Module Code]library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_unsigned.all;entity traffic isPort ( clk : ..
    리포트 | 6페이지 | 1,000원 | 등록일 2017.06.29
  • 디지털공학실험 08. 신호등 예비
    15(or 3)이 될 때 다음상태로 변화하는 구조로 설계한다.이러한 과정으로신호등 제어기는 다음과 같은 State Machine [ 신호등을 제어하기 위한 상태다이어그램 ]에 의해 ... < 순차 회로 신호등예비보고서>실험목적순차 회로를 통한 직렬 가산기를 설계하는 법을 학습한다.또 직렬 가산기를 설계하고 상태표와 상태그래프로 나타내어 분석한다.이론.작동우선,Light는 ... 남북 [north-south], 동서 [east-west] 의 방향으로 가는 길의 교차로(+모양)에서 교차점에 위치하는 것으로 가정한다.그림과 같이 신호등 제어기는 state를 0
    리포트 | 2페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 고급디지털회로 신호등 설계
    - 과제 제안서일정한 시간에 따라 경로에 대한 신호를 FPGA보드로 구현한다. ... 이름에 의한 신호 연결traffic U2 (.mclk(mclk3), .clr(clr), .lights(Led) );endmodule--------------------------- ... 연결 변수의 선언wire mclk3;wire clr;assign clr = btn[3];clkdiv U1 (.mclk(mclk), .clr(clr), .mclk3(mclk3));//신호
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.22
  • [디지털신호처리][DSP] 디지털 필터 설계(LPF,버터워스,체비셰프,백색잡음 등)
    O HUI’s Ads Planning Digital Filter 디지털 신호처리 Contents. ... 02 백색소음 05 디지털 신호처리 고찰사항 06 목적 01 Filter 가 무엇인지 알고 , 디지털 필터를 설계해 본다 . 디지털 신호처리 Filter 란 ? ... 디지털 신호처리 디지털 필터 는 특정한 성질의 결과를 얻기 위해서 이산신호를 처리하는 장치나 알고리즘을 말한다 .
    리포트 | 18페이지 | 2,500원 | 등록일 2018.05.01 | 수정일 2019.12.04
  • 사거리신호등 디지털회로설계 프로젝트
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 설계과제를 수행하였다. ... 신호등에서 사용되는 카운터와 세그먼트, 타이머 등에 대하여 더 자세하게 알 수 있는 기회가 된다. ... 연구내용2.1 개념도2.2 설계 주요내용타이머 설계타이머에 나온신호를 10진카운터에 입력세그먼트에서 AND게이트로 신호를 묶어준다인버트를 통해 받은신호를 OR게이트로 묶어준다신호등
    리포트 | 4페이지 | 3,000원 | 등록일 2014.12.21
  • 디지털 시스템실험, Verilog 코딩, Sequential Circuit (신호등) 구현, FPGA보드에서 신호등 사진 결과
    (신호등을 설계하였다)실험결과이번 실험은 각종 Flip-flop을 구현하고 최종적으로 이를 이용하여 BCD Ripple Counter와 Register를 이용한 한자리 정수 덧셈 뺄셈 ... FPGA보드에 연결하자 Clock에 변화에 맞춰서 신호등이 바뀌는 것을 확인하였다.토의이번 실험은 저번 주에 실험했었던 비동기식, 즉 Clock pulse가 모두 동시에 들어가지 않아 ... coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down count를 하고 1이면 up count를 하게끔 만들었다.다음으로 이 카운터를 응용하여 신호등
    리포트 | 3페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.16
  • 디지털 논리를 이용한 신호등 제작
    디지털논리회로실험◆실험 목표◆-디지털논리회로실험시간에 배운 내용을 토대로 교통신호 제어기를 만든다.-신호등의 점등시간은 주도로와 부도로 녹색등 8초, 황색신호등은 2초로 한다. ... ※M=주도로 S=부도로위 진리표에 따르면 신호등은 MG' 와 SR'→ MY' 와 SR'→ MR' 와 SG'→ MR' 와 SY' 순서로 점등된다.이를 토대로 교통신호등을 설계한다.최신디지털공학실험 ... 13장 디멀티플렉서를 이용한 조합논리에 있는 설계도를 참고하였다.교통신호등 설계도여기서 각 신호등 끝에 좌회전 신호등을 하나씩 추가하였다.
    리포트 | 12페이지 | 5,000원 | 등록일 2011.11.02
  • FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    신호등 제어기 설계1. ... 신호등은 적색 신호가 청색으로 변할 때는 적→황→청으로 변하지만, 청색 신호가 적색으로 변할 때는 청→적으로 나타난다.농로에 차가 진입하는 것을 입력 x=1로 보고, 신호등 색깔을 ... 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음).
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • [디지털시스템] 신호등 제어기
    일상생활에주로사용되고쉽게접할수있는사거리신호등제어기를제작. TTL-IC를이용하여직접회로설계하고PCB위에구현하여동작.
    리포트 | 20페이지 | 5,000원 | 등록일 2008.12.27
  • 디지털시스템 실험(동기식 up/down counter)+응용한 신호등 설계
    (신호등을 설계하였다)실험결과이번 실험은 각종 Flip-flop을 구현하고 최종적으로 이를 이용하여 BCD Ripple Counter와 Register를 이용한 한자리 정수 덧셈 뺄셈 ... FPGA보드에 연결하자 Clock에 변화에 맞춰서 신호등이 바뀌는 것을 확인하였다.토의이번 실험은 저번 주에 실험했었던 비동기식, 즉 Clock pulse가 모두 동시에 들어가지 않아 ... coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down count를 하고 1이면 up count를 하게끔 만들었다.다음으로 이 카운터를 응용하여 신호등
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.03 | 수정일 2016.11.10
  • 플립플롭으로 신호등 만들기 제어(디지틀논리)
    ●FLIP FLOP-클럭 펄스가 나타나기 바로 이전의 입력이 출력에 반영되어 다음클럭 펄스가 나타날 때까지 그 상태를 유지●타이밍 순서디지털 시스템의 동작을 제어하는 제어기는 제어신호를 ... 보행자: 정지▷거리 신호등북쪽,남쪽 신호등: 빨간불 ? 자동차:정지서쪽,동쪽 신호등: 좌회전? ... 보행자: 정지▷거리 신호등북쪽,남쪽 신호등:빨간불? 자동차:정지서쪽,동쪽 신호등: 노란불? 자동차:서행♣패턴3▷횡단보도북쪽,남쪽 횡단보도: 빨간불?
    리포트 | 13페이지 | 2,000원 | 등록일 2009.11.15
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTTraffic Light Controller 설계1. ... 이 X신호에 의해 국도의 신호등는 빨강신호에서 초록신호로 바뀌게 될 것이고, 고속도로의 신호등은 초록신호에서 빨강신호로 바뀌게 될 것이다. ... 실험이론이번 실험에서는 신호등을 제어하는 제어기를 설계할 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 김재석 디지털 논리, 신호등, staffic light controller, STLC, Flowian 프로젝트
    .* local road에 차가 있으면 Highway green이 60초 이상일 경우일 때 local load 신호가 yellow으로 바뀐다.* local road에 차가 없으면 highway
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.23
  • [디시설] 한백전자 키트를 이용한 디지털 시스템 설계 (안전신호등) 전북대
    HBE-SoC-Entry2 를 이용하는 디지털시스템 설계 작품입니다.전북대학교 정진* 교수님 수업시간에 A+ 받았습니다.참고하세요.
    리포트 | 2,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 디지틀 논리 회로 - 사거리 신호등
    또 남쪽 신호등의 직진신호가 꺼지면 북쪽 신호등에 좌회전 신호가 들어온다. 그리고 노란불 후 빨간불이 켜진다. 이후에는 같은 방법으로 서쪽과 동쪽 신호등신호가 들어온다. ... 그러다 남쪽 신호등의 좌회전 신호가 꺼지고 노란불이 들어온 후 빨간불이 켜지면 북쪽 신호등에 직진신호가 들어온다. ... 사거리 신호등G○R ●● R○GR●R ●● R○ ←G L처음에는 남쪽 신호등에서 좌회전과 직진신호가 들어오고 나머지는 빨간불이 켜져 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.05.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대