• 통큰쿠폰이벤트-통합
  • 통합검색(4,619)
  • 리포트(4,224)
  • 자기소개서(146)
  • 시험자료(117)
  • 방송통신대(105)
  • 논문(14)
  • 서식(7)
  • ppt테마(2)
  • 노하우(2)
  • 이력서(1)
  • 표지/속지(1)

"디지털시계" 검색결과 1-20 / 4,619건

  • 디지털 시계 실험 프레젠테이션
    - 디지털 시계디지털 회로 실험개 요1.2.3.4.회로구성시계제작작동원리결과 및 고찰1. ... 디지털 시계를 제작하면서 디지털 시계에 대한 원리와 동작을 알게되었습니다. ... 결과 및 고찰디지털 시계를 만드는 가장 중요한 요점은 clock의 수에 따라 변화하는 카운터와 입력을 받아 출력을 생성하는 디코더, 그리고 입력을 받는대로 led의 불을 켜는 7-Segment가
    리포트 | 15페이지 | 5,000원 | 등록일 2021.12.06 | 수정일 2022.04.08
  • 디지털 알람 시계 (디지털 시계 알람 기능 구현)
    앞의 회로와 마찬가지로 디지털 시계가 작동하기 위한 clock을 발생시키는 회로이다. ... 11장 16진 카운터’ 실험과 ‘디지털 12장 60진 카운터/타이머 설계’ 실험에서 배운 이론을 응용할 수 있는 ‘디지털 알람 시계’를 프로젝트 주제로 선정했다.(2) 최초 목표 ... 및 사양사용자가 직접 현재 시각과 알람 시각을 설정할 수 있고, 설정한 알람 시각에 알람이 울리며, 시, 분, 초가 표시되는 디지털 알람시계를 제작한다.[2] 연구내용(1) 설계 관련
    리포트 | 13페이지 | 1,500원 | 등록일 2020.12.19
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    디지털 시계와 레지스터학번 :이름 :1. ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.카운터는 입력 펄스의 수를 세는 장치이며 대표적인 플리플롭 응용 장치이다. ... 디지털 시계에서 쓰이는 카운터는 enable 제어 신호를 가지고 있는 카운터를 설계해야 한다. enable 제어 신호를 가져오는 이유는 모든 카운터가 하나의 클럭펄스에 동기되어 있어
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 디지털 시계 만들기 제안서
    카운터, 7-Segment, 분주 회로, 오실레이터 등을 사용해 디지털 시계를 구성하며 Flow Chart를 토대로 디지털 시계의 설계를 진행한다.? ... [프로젝트 개요]디지털 시계란 카운터를 이용해 설계할 수 있는 대표적인 순차 회로 중 하나이다. ... 디지털 시계 설계 Flow Chart(1) 시/분/초 표시 기능? 크리스탈 오실레이터에서 크리스탈 칩을 통해 10Mhz를 발생시킨다.?
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.01
  • 디지털 시계 결과보고서
    실험 제목디지털 시계2. 목적 및 목표브레드보드에서 4020, 7490, 7447소자의 동작을 이해하고 주파수가 세븐세그먼트의 숫자에 어떤 영향을 끼치는지 알아보자!3.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 디지털 시계 회로 제작 보고서
    전기전자 기초실험 및 설계Term Project 보고서디지털 시계 회로 제작1. 실험 주제디지털 논리 회로를 이용한 디지털 시계 제작2. 실험 원리그림 1. ... 디지털 시계 회로그림 1은 우리 조가 설계한 디지털 시계의 회로도이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 및 분주회로와, ? ... 다시 말해, 가변저항을 적절히 변화시켜서 디지털 시계의 시간을그림 3.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • 디지털 시계 콤보(combo2) 소스
    module clock(input clk,input time_set,input inc_hr,input inc_min,output reg [9:0] dot_col,output reg [13:0] dot_dis,output reg [7:0] apm,output reg [7..
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.22 | 수정일 2020.12.24
  • 디지털공학개론 ) 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.디지털 시계의 설계는 우리가 어떠한 방식의 시계를 설계할 것이냐에 따라 카운터의 구성이 달라지게 된다. ... 디지털공학개론1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.디지털공학개론1. ... 단위로 시간을 나타내고 오전, 오후의 구분은 하지 않을 것인지 등의 디지털 시계의 동작 방식을 우선적으로 고려해야 한다.본 글에서는 초 단위까지 나타내는 12시간 단위의 디지털 시계
    방송통신대 | 6페이지 | 5,000원 | 등록일 2022.02.17
  • 디지털 알람 시계 회로도 설계 및 제작
    디지털 알람 시계 회로도 설계 및 제작Contents Conclusion 작동 원리 주요 소요 부품회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    /1589239 빵판에다 만드는 디지털 시계(Digital Clock)https://www.youtube.com/watch? ... 실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시/분/ ... PPT에 넣을 문제점 정리.참고자료http://murcielrago.tistory.com/13 [디지털 클락] Digital Clock 제작에 필요한 IC Chiphttp://rabe.egloos.com
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... 다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다.Vhdl를 이용한 코드.출력 결과1초 생성기이론적 배경디지털 시계를 만들기 위해 ... 이를 통해 주기가 1 초인 클럭이 만들어 진다.Vhdl를 이용한 코드출력 결과60진 카운터이론적 배경디지털 시계구성에서 필요한 카운터로 60초, 또는 60분이 되었을 때 carry발생을
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 조선대 전자회로실험 디지털시계 과제 레포트
    디지털 시계 제작 2조 Ⓒ땅콩Contents Ⅰ 디지털 시계 Ⅱ 작동 원리 Ⅲ 재료 설명 설치 방법 Ⅳ 완성 사진 。브레드 보드 。7-세그먼트 。 IC 패키지 。 。 ... 그림의 회로에서는 가변저항 R2 를 가변하여 발진주파수를 변화시킨다.동작원리 디지털 시계 발진회로로부터 얻어진 구형파를 이용하여 디지털 시계의 기본 단위인 1초를 나타내기 위한 1 ... 디지털 시계 RC발진 회로를 이용하여 가변저항 100K옴 을 가변하여 발진주파수를 변화 시킨다.
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • [디지털공학개론] 디지털 시계의 회로도 설계와기본형 레지스터 및 IC의 종류
    발진 회로디지털 시계의 회로도 설계에 있어서 발진회로는 일정한 클록을 제공하기 위해서 요구된다. 이를 세팅하기 위해서는 다음의 세 방법이 있다. ... 분주 회로디지털 시계의 기본 단위로 약속된 시간 규격인 초 를 나타내기 위해서는 ‘ ’ 1Hz 주파수가 필요하다 분주회로는 정현파에서 구형파를 얻을 수 있도록 . 60Hz 1Hz 도와주는
    리포트 | 6페이지 | 2,000원 | 등록일 2020.04.05
  • 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    카운터 응용으로 디지털시계 회로도 완성 과정 설명1) 디지털 카운터2) 카운터를 응용한 디지털 시계 회로도2. 4가지 기본형 레지스터 분류에 속하는 IC1) 직렬 입력 직렬 출력2) ... 교과목명 : 디지털공학개론 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.Ⅰ. ... 카운터 응용으로 디지털시계 회로도 완성 과정 설명1) 디지털 카운터디지털 카운터는 펄스 수를 세거나 타이머 동작, 주파수를 분주하는 회로로 플립플롭을 활용한 기억소자와 조합논리소자로
    리포트 | 5페이지 | 2,000원 | 등록일 2024.09.09
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    지금은 디지털 시계, 손목 시계 등 우리 일상에서 쉽게 구할 수 있는 물품이지만 시계는 하루 동안의 시간 변화를 객관적으로 나타내기 위한 우리 일상의 중요한 장치 중 하나이다.디지털 ... 름학 과전자공학과ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계0. ... 검토 및 고찰디지털시계디지털타이머디지털스탑워치구현 목표시간변경,시/분/초 표시타이머 숫자표시,알람1/10초 단위 표시,시작, 정지, 재개, 초기화 기능제작 결과시간변경,시/분/초 표시알람1
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    기초회로실험 Ⅱ디지털 시계1. ... 작동원리1) 디지털 시계의 구성에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 ... 목적1) 디지털 시계 설계2) 학습한 내용을 토대로 디지털 응용회로를 설계, 구현하고 실험을 통해 동작 확인3) 팀 단위의 프로젝트 진행으로 팀원간 역할분담, 팀워크 훈련4) 기판상에
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정 설명2. 4가지 기본형 레지스터의 분류에 속하는 IC들 정리1) 카운터의 응용으로 디지털시계의 회로도 과정 설명디지털 시계? ... 아래의 디지털시계의 블록 다이어그램으로 구성할 수 있다. ... 증폭회로의 출력을 입력측으로 되먹임하여 외부의 입력 없이 전기진동을 발생시켜 교류파형을 얻을 수 있다.카운터 응용으로 디지털 시계를 만드는 과정은 아래와 같이 3가지가 있다.1) 가정용
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • 전전설2 파이널 프로젝트 디지털시계 (전자전기컴퓨터설계2 실험 파이널 프로젝트)
    디지털 시계 코드`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////// ... ///// Company:// Engineer://// Create Date: 14:08:09 11/22/2016// Design Name:// Module Name: Clock_Digital ... Comments:////////////////////////////////////////////////////////////////////////////////////module Clock_Digital
    리포트 | 73페이지 | 5,000원 | 등록일 2019.11.09
  • 실험4 예비 5_마이크로프로세서 실험 및 설계 실습8. 타이머를 이용한 디지털 시계
    타이머를 이용한 디지털 시계#include //확장자가 .h로 끝나는 avr/io라는 헤더파일을 포함하라는 의미의 선언.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • [전자전기컴퓨터설계실험2] Verilog를 이용한 디지털 시계 (알람, 스탑워치, LED 기능 포함)
    //Digital_Clock.vmodule Digital_Clock(RESETN, CLK, LCD_E, LCD_RS, LCD_RW, LCD_DATA, PIEZO, BUS, BUT,
    리포트 | 81페이지 | 5,000원 | 등록일 2020.09.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대