• 통큰쿠폰이벤트-통합
  • 통합검색(134)
  • 리포트(87)
  • 방송통신대(24)
  • 자기소개서(21)
  • 시험자료(2)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로 과제물" 검색결과 1-20 / 134건

  • 방통대 ) 디지털논리회로 대체과제물
    『온라인 제출용 출석수업대체과제물 표지』2020 학년도 ( 1 )학기 출석수업대체과제물교과목명 : 디지털논리회로학 번 :성 명 :연 락 처 :______________________ ... ____________________________________________________________○ 과 제 명 : 디지털논리회로 출석 대체 과제- 이하 과제 작성※ 표지는 ... A4용지 사용디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.크게 회로 설계(circuit design)단계, 논리 설계(logic design
    방송통신대 | 7페이지 | 5,000원 | 등록일 2020.05.18 | 수정일 2020.06.13
  • 방송통신대 디지털논리회로 출석수업 과제물(문제풀이)
    출석수업 과제물(평가결과물) 표지(온라인제출용)교과목명 : 디지털논리회로학 번 :성 명 :강 의 실 : 지역대학 호연 락 처 :______________________________ ... ____________________________________________________- 이하 과제 작성1. ... 1)+YZ=X+YZ3.다음 진리표를 보고 물음에 답하시오.(1) 진리표에 해당하는 부울함수의 정규형(최소항의 합형태)을 구하시오.최소항의 합형태는 출력값이 1인 부분의 최소항들을 논리합으로
    방송통신대 | 3페이지 | 5,000원 | 등록일 2022.05.07 | 수정일 2022.05.09
  • [방송통신대학교] 디지털논리회로 출석수업대체과제물
    『온라인 제출용 출석수업대체과제물 표지』2020학년도 (1)학기 출석수업대체과제물교과목명 : 디지털논리회로학 번 :성 명 :연 락 처 :_________________________ ... _________________________________________________________○ 과 제 명 : 디지털논리회로 문제풀이- 이하 과제 작성※ 표지는 A4용지 사용 ... 디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.디지털 시스템의 설계단계는 1. 회로 설계(circuit design) 2.
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
  • 2020학년도 1학기 출석수업대체과제물 디지털논리회로
    『온라인 제출용 출석수업대체과제물 표지』2020 학년도 ( 1 )학기 출석수업대체과제물교과목명 : 디지털논리회로학 번 :성 명 :연 락 처 :______________________ ... 디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.디지털 시스템의 설계 단계는 회로설계 단계, 논리설계 단계, 시스템 설계 단계, 실제적 설계 ... 논리설계 단계조합논리회로 또는 순서논리회로를 만들기 위해 게이트와 플립플롭과 같은 논리소자를 연결하는 단계이다.3.
    방송통신대 | 10페이지 | 6,000원 | 등록일 2020.05.27
  • 디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.
    디지털논리회로1. ... 기술하시오.2) 과제물은 한글, MS워드 파일로 제출하시오.1. ... 입력이 3개이고 출력이 1개인 조합논리회로에서 입력 중 0의 개수가 1의개수보다 많으면 출력이 1이 되고, 그 외의 경우에는 0이 되는 조합논리회로를 설계하시오.디지털논리회로1.
    방송통신대 | 7페이지 | 8,000원 | 등록일 2020.07.07 | 수정일 2020.08.09
  • 2021년 방송통신대학교 디지털논리회로 기말시험 대체
    방송통신대 | 11페이지 | 5,000원 | 등록일 2021.08.22
  • 충북대 기초회로실험 반가산기 및 전가산기 예비
    digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기(Half Adder)2진 덧셈을 살펴보면 2-입력(A, B)의 논리회로는 exclusive-OR ... 그러므로 디지털 회로에서는 모든 연산동작이 2진수를 사용하도록 구성되어 진다. ... 반가산기 및 전가산기(예비보고서)실험 목적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다.이론(1) 2진 연산(Binary Arithmetic
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10
  • [디지털실험] 반가산기와 전가산기 예비리포트
    digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기2진 덧셈을 살펴보면 2-입력(A, B)의 논리회로는 exclusive-OR게이트와 같은 출력을 ... 같이 두 개의 반가산기와 1개의 OR 게이트로 구성할 수 있다.- 실험 준비물(1) 전원공급기(GW GPC-3020A) 1대(2) 오실로스코프(3) 브래드보드- 예비 과제(1) 이론 ... 그러므로 디지털 회로에서는 모든 연산동작이 2진수를 사용하도록 구성되어진다.
    리포트 | 2페이지 | 1,500원 | 등록일 2020.05.21
  • 충북대학교 전자공학부 기초회로실험 반가산기와 전가산기 예비 보고서
    이 론(1) 2진 연산(Binary Arithmetic) : 2진수 체계는 모든 디지털 시스템의 기초이므로 디지털 회로에서는 모든 연산 동작이 2진수를 사용하도록 구성되어 진다. ... 2-입력(A, B)의 논리회로는 exclusive-OR 게이트와 같은 출력을 나타내고 있다. ... REPORT과 목: 기초회로실험I담당교수:소 속: 전자공학전공학 번:이 름:◆ 목 적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다◆
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 충북대 기초회로실험 논리게이트와 부울함수의 구현 예비
    이용한 논리회로의 구현부울 함수는 기본 게이트들을 사용하여 구현 할 수 있다.예비과제(1) NOT, AND, OR, NAND, NOR 및 Exclusive-OR 게이트의 진리표를 작성하라.NOT ... 대수를 사용한 논리회로의 표현방식 및 등가회로를 익힌다.이론(1) NOT(Inverter)NOT 게이트는 하나의 입력과 출력을 가지며, 논리적 부정연산을 행하는데 논리적 부정을 나타내는 ... 1) 디지털 멀티미터(HP 34401A) 1대(2) 전원공급기(GW GPC-3020A) 1대(3) 오실로스코프(4) 브레드보드(WISH 206) 1개?
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 방통대 디지털논리회로 출석과제물
    출석수업 과제물(평가결과물) 표지(온라인제출용)교과목명 : 디지털논리회로학 번 :성 명 :연 락 처 :____________________________________________ ... 입력 중 0의 개수가 1의 개수보다 많으면 출력이 1이 되고, 그 외의 경우는 0이 되는 조합논리회로를 설계하시오.교재 3장 (주관식 문제 1, 3번)F = X’YZ’+ X’Y’Z의 ... m (0, 2, 5)를 갖는 다음식을 간소화 하시오.F (w, x, y, z) = m (1, 3, 7, 11, 15)교재 5장 (주관식 문제 8번)입력이 3개이고 출력이 1인 조합논리회로에서
    방송통신대 | 5페이지 | 4,800원 | 등록일 2024.06.19
  • 2022학년도 한국해양대 전자전기공학부 편입 자소서
    특히, 디지털 공학을 수강하며 표본화, 양자화, 부호화의 아날로그-디지털 변환 과정을 학습했으며, 기본 게이트를 이용해 논리식으로부터 논리회로를 구성하는 방법 역시 학습했습니다. ... 회로에 대한 통찰력과 설계 역량을 기반으로, 신호 및 시스템, 제어공학의 이론적 지식을 학습한다면 높은 완성도의 결과물을 도출할 것으로 기대됩니다.4. ... 자기주도적인 원리 학습으로 전자전기공학의 깊이를 더했습니다.전자회로디지털회로 실험 등 실험과목을 수강하며, 전기소자의 해석 방법과 소자를 이용한 회로설계를 익혔습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.06 | 수정일 2023.11.13
  • [평가계획서][평가계획안][평가규정] 2학년 정보 교과 학생 평가 규정
    컴퓨터 설계의 기본이 되는 디지털 설계의 불대수와 논리연산을 이해하고, 기본적인 논리회로를 설계한다.정보3211-1. ... 컴퓨터의 기본적인 논리회로를 설계할 수 있다.상다양한 논리 게이트의 기호, 논리식, 진리표, 논리 회로의 특징을 비교하여 설명할 수 있고, 조합 논리회로로 구현된 예를 찾을 수 있고 ... 설계할 수 있다.중다양한 논리 게이트의 기호, 논리식, 진리표, 논리 회로의 특징을 설명할 수 있고, 조합 논리회로로 구현된 예를 찾을 수 있다.하다양한 논리 게이트의 기호, 논리
    리포트 | 10페이지 | 3,000원 | 등록일 2023.04.21
  • 4주차 예비 - 논리 게이트 및 부울 함수의 구현
    같지 않을 때만 출력이 1이되는 논리회로를 말하며, 이는 논리 연산회로, 2진수의 비교, 착오의 검출, 코드변환등에 쓰인다.이러한 게이트들을 이용하여 부울 함수를 구현할 수 있다.실험준비물 ... (1) 디지털 멀티미터(HP 34401A) 1대(2) 전원공급기(GW GPC-3020A) 1대(3) 오실로스코프(4) 브레드보드(WISH 206) 1개? ... SN7486 (Quad 2-input XOR gate)예비과제(1) NOT, AND, OR, NAND, NOR 및 Exclusive-OR 게이트의 진리표를 작성하라Exclusive-OR게이트
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 충북대 기초회로실험 인코더와 디코더 회로 예비
    회로에 인에이블(enable)입력이 존재한다면 정상적인 논리출력을 얻기 위해서는 인에이블 신호가 회로에 인가되어야 한다. ... 사용방법을 익힌다.이론(1) 디코더(Decoder)디코더(Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다. ... (3) 7-세그먼트 표시기(Seven Segment Indicator)디지털 회로는 LED(light emitting diode) 또는 LCD(liquid crystal display
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    실험목적디지털 설계의 장점과 TTL gate의 특성을 이해하고 OR gate, XOR gate, 가산기 등 여러 논리회로를 디자인한 후 실제로 설계하여 실험능력을 함양한다.2. ... [응용과제] 전가산기 회로를 구현하고 Dip 스위치와 LED를 통해 Input에 따른 Output 결과를 확인한다.- 입력 : A, B, Cin (Dip 스위치)- 출력 : S (red ... 이에 반해 ASIC 방식은 복잡한 논리 회로를 구현할 때 사용되고 빠르게 동작한다는 장점이 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • 전전설2 실험2 예비보고서
    심볼을 직접 불러와서 배치하고 연결함으로써 디지털 회로를 디자인한다.Schematic 방식으로 설계한 logic을 최종적으로 FPGA Device Configuration 까지 수행해서 ... 것이고, 단순한 논리회로를 설계한다면 CPLD를 이용할 것이다.- 일반 FPGA 는 어디로 배선될지 모르지만(로직 활용도에서 우수) CPLD는 가운데에서 양쪽 블록들로 배선되기 때문에 ... : 가변 숫자 표시기, FND(총 8개의 LED가 모여있어서 숫자 혹은 알파벳을 표현할 수 있는 기기),FND 4 Digit : 4-digit FND는 모양상으로는 1-digit
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 전전설2 실험 1 예비보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 및 설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... C = xy + xz + yz[2-5] 디지털 로직의 조합회로와 순차회로에 대하여 차이점을 조사하고 여러 가지 예를 들어 설명하시오.정보를 저장하는 state를 가지느냐의 차이를 가진다.조합회로 ... [응용과제]전가산기를 회로를 구현하고 Dip 스위치와 LED를 통해 확인한다.입력 : A, B, Cin (Dip sw)출력 : S (redLED), Cout (greenLED)4.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • [방송통신대학교] 디지털논리회로 기말시험(온라인)
    교과목명:디지털논리회로?학번:?성명:?연락처:?평가유형:주관식형(과제물형, 주관식형, 혼합형 중 해당 유형 표기)?주관식형:※ 주관식일 경우 문제번호 표기 후 답안 작성? ... 과제명:디지털 논리회로 문제풀이- 이하 과제 및 답안 작성 (※ A4용지 편집 사용)1. 2개의 D플립플롭으로 구성된 순서논리회로의 입력방정식이 다음과 같을 때 상태표, 상태도, 논리회로도를 ... 과제물유형:( 공통 ) 형※ 평가유형이 과제물형 경우 해당 유형 작성(예 : 공통 / A형 / B형 / C형 / D형 / E형)?
    방송통신대 | 8페이지 | 4,500원 | 등록일 2022.03.01 | 수정일 2022.03.10
  • 충북대학교 전자공학부 기초회로실험 논리 게이트 및 부울 함수의 구현 예비 보고서
    논리 연산회로, 2진수 비교, 착오의 검출, 코드 변환등에 쓰인다.AYC000011101110(6) 부울 함수를 이용한 논리회로의 구현F(A, B, C) = AB + C'◆예비과제( ... 서로 같지 않을 때만 출력이 1이 되는 논리 회로. ... 논리 회로의 표현 방식 및 등가 회로를 익힌다.◆ 이론(1) NOT(Inverter) : 하나의 입력과 출력을 가지며, 논리적 부정 연산을 행한다.AY0110(2) OR 게이트 :
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.26 | 수정일 2020.09.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대