• 통큰쿠폰이벤트-통합
  • 통합검색(1,162)
  • 리포트(1,155)
  • 자기소개서(5)
  • 논문(1)
  • 서식(1)

"논리회로실험 실험2 결과보고서" 검색결과 1-20 / 1,162건

  • 디지털 실험 2장(다이오드 논리회로) 결과 보고
    실험 2장 스위칭 회로 결과보고서1. 실험목적-반도체 다이오드의 스위치 특성을 공부한다.-다이오드를 이용하여 AND, OR 논리를 실현한다. ... -다이오드 논리회로의 문제점을 고찰한다.2. 실험순서실험에 쓰인 저항(R)은 330Ω 이다. ... 측정되어야 하지만, 실제 실험값은 2.24V가 나왔다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 서강대학교 디지털논리회로실험 2주차 결과보고서
    구현된 임의의 논리 함수가 최소화 될 수 있음을 확인한다.4) Wired OR logic의 특성과 활용 방법을 익힌다.5) FPGA를 이용하여 간단한 논리 회로를 구현하고 동작을 확인한다 ... 실험목적1) TTL logic gates의 동작 방법을 익힌다.2) Logic level과 noise margins, 그리고 fanout에 대해 이해한다.3) Gates를 이용하여 ... .2.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.02
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서2
    알 수 있다.실험3결선도회로도3-13-2결 과3-13-2? ... 일반적으로 논리회로에서의 논리소자들이 취하는 전류, 전압 값들은 연속적이며 이러한 연속적인 값들에대해 논리소자들은 High, Low의 범위를 지정하여 사용한다.? ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 디지털 논리회로 실험 2주차 기본 논리 게이트 (NAND, NOR, XOR Gate) 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : NAND, NOR, XOR GATE 및 응용소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 ... 지금까지 실험한 기본 논리 게이트들의 핀의 위치는 대부분 핀 1,2번이 입력값이고 핀 3번이 출력값이었는데, 4.1.2 실험 때 쓰이는 NOR 게이트는 다른 논리 게이트들과 달리 3번 ... 실험 과정 및 실험 결과2. 실험 결과 분석3. 결론1.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.04.21
  • 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 결과 보고
    디지털논리회로실험서강대학교 전자공학과2017년 2학기 결과레포트실험2. Digital Logic Gates실험2. Digital Logic Gates1. 실험목적1. ... 기존에 해당 회로가 Wired-And로 알고 있었고 실험 결과 만나는 지점에서 AND Gate 역할을 하는 것을 확인하였다.?실험 2-A퀴즈 (2-A) 회로퀴즈 (2-B) 회로? ... A회로와 비교했을 때 A회로는 게이트가 2개만 쓰인 반면 B회로는 3개가 쓰였기에 더 복잡한 회로이다.3. 실험회로의 해석과 예상 결과?
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서2
    결과실험1)실험 과정: 준비한 결선도를 참고하여 Inverter 회로를 구성한다. ... CMOS 회로의 전기적 특성1) 실험목적1. 인버터를 사용했을 때 실제 입력과 출력을 그래프를 통해 시각적으로 확인한다.2. ... 이 범위의 입력은 논리값이 불확실한 구간이므로 이 범위의 전압을 디지털 회로에 그대로 사용할 경우, 결과값을 예측할 수 없고 이는 회로의 불안정한 신호로 이어진다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 논리회로실험 A+결과보고서 2 Electrical feature of CMOS semiconductor
    실험 2) Schmitt-trigger의 입출력 특성 확인두 번째 실험은 첫 번째 실험회로 구성과 설정은 동일하게 하고, Inverter(74HC04)를 Schmitt trigger ... 실험 과정 및 결과 실험 1) Inverter의 입출력 특성 확인Inverter(74HC04)를 이용하여 회로를 구성하고 에 를 입력하였다. ... 나왔는데 XY모드로 바꾸는 도중 구성한 회로를 건드려서 결과 화면에 살짝 노이즈가 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.09 | 수정일 2020.10.13
  • 아주대학교 논리회로실험 실험2 CMOS의 회로의 전기적 특성 결과보고서
    실험2 결과보고서IEEE Code of Ethics(출처: http://www.ieee.org)We, the members of the IEEE, in recognition of the ... 실험 1,2 에서는 신호의 입력, 실험 3에서는 신호의 출력, 실험 4에서는 신호의 시간지연에 대해 알아봤다.실험 1에서는 CMOS회로가 입력 전압에 따라 논리값을 정하는 방식과 그로 ... 예비보고서에서 어떤 구간에서 값이 abnormal한 구간이 나타날 것이라고 예측했는데 오실로스코프 측정결과 그러한 구간이 나타났다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 코드가 정상적으로 작동하는지 확인해 보았으며 위와 같은 결과를 얻어 낼 수 있었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 논리회로실험 2주차 결과보고서
    결 과 보 고 서2주차(실험1)NOT Gate를 이용한 실험장비 사용법분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.00과정 1[표 4] 1개 Not GateInputOutputAX0 ... 실험과정 3, 4, 5 표의 결과 값(v)을 논리 값으로 바꾸시오[표 10] 3개의 Not Gate 결과 값inputoutputAXYZ01011010[표 11] NAND 와 NOT ... 결과 값inputoutputBAFZ*************101[표 12] OR 결과 값inputoutputBAF000011101111실험간 오차 원인이번 실험에서 사용된 ‘디지털
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아주대 논회실 논리회로실험 실험2 결과보고서
    모습:이번 실험은 예비보고서에서 작성한 Resistive Load를 확인하는 실험이다. ... 실험 과정 및 결과-실험결과 기록 및 정리실험 1) Inverter의 입출력 특성 확인이 회로를 브레드보드에 구성하고 오실로스코프로 입출력을 측정하는 모습실험 2) Schmitt-trigger의 ... 그리고 출력되는 파형을 관찰한 결과 입력 값과 출력 값이 30ns의 전달지연을 가지고 있음을 확인했다.실험 2의 회로 결선도2.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 아주대 논리회로실험 실험결과2 CMOS 회로의 전기적 특성 결과보고서
    CMOS 회로의 전기적 특성 결과보고서실험 결과 분석실험 1 : Logic Levels & DC Noise MarginsVIN ( 4.5V → 0V ) 일때 VOUT ( 0V → ... 없어서 실험 시작전 예비보고서를 쓰면서도 정확히 개념들이 머릿속에 들어서지를 못했었다. ... 었는데 회로구성이 위의 실험1,2,3 과는 다르게 약간 더복잡해져서 인지 파형발생기와 프로브 그리고 인버터 소자의 불량으로 인해 그래프가 떨리고 불안정하며 예상과 약간 다른 그래프
    리포트 | 8페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 실험1 결과보고서 조합논리회로2 멀티플렉서
    실험 (1) 결과보고서 #5조합논리회로 Ⅱ : 멀티플렉서(Multiplexer)1. ... 목 적조합논리회로의 또 다른 예로서 멀티플렉서와 디멀티플렉서의 동작 원리 및 특성을 확인한다.2. ... 회로를 들여다보면 3-input과 4-input이 있는데,실제 실험간에는 동일한 모델이 없어서 결과 1) 의 마지막 시뮬레이션 그림과 같이 게이트를추가해서 실험을 했다.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • [A+ 결과보고서] 아주대 논리회로실험 실험2 'CMOS 회로의 전기적 특성'
    30Ω-이번 실험은 예비보고서에서 썼던 Resistive Load를 확인해보는 실험이다. ... 회로를 구성한다2번 핀의 전압(Vout)과 IOL을 측정한다Rn을 계산한다결과측정값VOLIOLRNRN=VOL/IOL=167.96/4.05=41.4Ω실험 3-2)실험 3-2) CMOS의 ... 실험결과실험 1)실험 1) Inverter의 입출력 특성 확인회로를 구성한다VCC=4.5V, VIN=4.5V로 설정한다오실로스코프의 출력모드를 X-Y모드로 설정CH1의 값을 4.5-
    리포트 | 8페이지 | 1,000원 | 등록일 2015.03.27
  • 아주대 논리회로실험 실험2 결과보고서
    : 박성진 교수님분 반: 수 8.5~11.5학 번: 200820126성 명: 안효중< Chap.2 결과보고서 >[1] 실험 의의CMOS 회로를 구성해 입출력 특성을 알아본다. ... REPORT(Chap.2 결과보고서)전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 ... 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011.9.21과목명: 논리회로실험교수명
    리포트 | 7페이지 | 1,000원 | 등록일 2012.02.29
  • 논리회로실험) 부울대수의 간소화(2) 결과보고서
    결 과 보 고 서5주차부울대수의 간소화(2) : Verilog HDL code1. ... 아래의 회로도와 같은 결과 값이 나오는 부울 식을 완성하고 , Verilog HDL 로 변환하여 Quartus II 와 ModelSim을 참고해서 결과 값 확인1 ) 고찰 1 회로를 ... 부울 식으로 고치시오.F = ( AㆍB' ) + ( A'ㆍB )2 ) 고찰 1 회로를 참고해서 Verilog HDL로 변환 하시오.Input ( a . b ) output ( f
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • 논리회로실험 실험2 Electrical feature of CMOS semiconductor 결과보고서
    3주차 결과보고서실험 2 Electrical feature of CMOS semiconductor▶실험과정 및 결과실험 1 : Inverter의 입출력 특성 확인? ... 실험 결과 :- 회로에서 두 채널 사이에 Not gate를 2개 통과한다. ... 실험 결과 :1. CH1을 4.5V → 0V 일 때- 그래프는 오른쪽에서 왼쪽으로 이동.- 커서 : 1.8V2.
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 논리회로실험 - 제 2장 가산기 및 감산기 결과 보고
    과 목 : 논리회로설계과 제 명 : 결과보고서 1담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 21. ... [그림 2] 1비트 전가산기2)(2)어떻게 이 회로를 구성할 것인가1) VHDL 코드를 구성하는 기본 설정(1)전반적인 내용-FA 4개를 이용한 4비트 가산기/감산기를 설계한다.-2 ... [그림 5] 가/감산기 계산 결과 (2진법)이론값과 결과값이 일치한다는 것을 확인할 수 있다.(5)Provide the simulation result and the code1) 가
    리포트 | 10페이지 | 1,000원 | 등록일 2014.08.15
  • [아주대학교] 논리 회로 실험 -2장- CMOS 회로의 전기적 특성 - 결과보고서
    출력전압이 갑자기 하강한다.2.6V 이하로는 입력전압이 변해도 출력전압이 변하지 않는다.0V -> 4.5V실험 1의 결과와는 다른 그래프를 얻을 수 있다. ... 실험 2. CMOS 회로의전기적 특성가. Logic Levels & DC Noise Margins(1) DC 전원공급기는 VIN과 VCC를 위해 2개 채널을 모두 사용한다. ... Schmitt-Trigger Inverters(1) 실험 1과 마찬가지로 DC 전원공급기 2개 채널을 모두 사용한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2010.09.28
  • 디지털회로실험. 결과보고서 ch1 ch2 기본논리게이트와 그 응용, 부울 대수
    Page 1실험1.2 기본 논리 게이트와 그 응용 / 부울대수1. ... .⑤ 부울 대수식을 이용하여 논리식을 간소화한다.⑥ 부울 대수식을 논리회로로 나타낼 수 있는 능력을 키운다.2. ... 실험 결과1-(1) AND 게이트- 실험회로는 다음과 같다.AND 게이트는 A,B 입력 모두 1일때만 출력이 1이된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대