• 통큰쿠폰이벤트-통합
  • 통합검색(16,717)
  • 리포트(16,268)
  • 자기소개서(216)
  • 시험자료(163)
  • 논문(41)
  • 방송통신대(25)
  • 서식(3)
  • ppt테마(1)

"대전류 실험" 검색결과 1,821-1,840 / 16,717건

  • [일반물리학실험] 전자선편향 실험보고서
    전자의 편향거리와 이동거리를 잴 때 사용한 좌표판이 한 칸당 1cm였는데 이 때문에 1cm이하의 길이에 대해서는 측정이 어려웠다. ... 자기장에 의한 편향 실험① 그림 8-3 과 같이 헬름홀쯔 편향용 코일을 코일 주변에 설치한다.② 먼저 애노드 전압을 고정시키고, 코일의 전류를 증가시키면서 애노드 전압, 전류, x, ... 실험 결과값과 이론값 모두 이 값이 감소함을 보인다. 전류를 고정시키고 애노드 전압을 증가시켰을 때도 마찬가지로 이론값과 실험값이 같이 증가하는 것으로 나타났다.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.08.24
  • [일반물리학실험]전하 측정
    실험 기구 및 장치가. 실험 재료(A) 전하 센서 : 전하를 측정할 때 물체에 가까이 대게 됩니다. ... 또 전기현상에 대해 생각해보니 직렬, 병렬연결에 대해 배울 때 전선을 타고 흐르는 전류의 이동과 전자들의 이동이 생각났다. 그럼 음전하를 전자라고 부를 수 있을까? ... 예를 들어 건조기에서 꺼낸 옷들이 달라붙는 현상과 건조한 날 풍선을 머리에 대고 비비면 머리카락이 풍선을 따라 붙는 것을 볼 수 있다.나.
    리포트 | 9페이지 | 4,000원 | 등록일 2023.12.11
  • [재료공학실험]종이와 연필의 종류에 따른 물리 화학적 차이
    멀티미터는 도체의 저항, 두 점 사이의 전압 및 전류의 세기를 측정하는 장치이다. 양 극에 탐침을 대어, 전류를 흐르게 하고 전압, 전류, 저항을 측정 할 수 있다.3. ... 전류가 통해야 하는 실험이므로 전도도가 높은 다음 재료를 쓴다.아. ... 대게 우측의 경화제와 일정 비율로 섞어서 쓴다.Equation :{AHEW} over {EEW}×100 = phr{115} over {186}×100 = 61.8 g경화제는 경화 반응을
    리포트 | 8페이지 | 3,000원 | 등록일 2022.04.19
  • [기계공학실험A+보고서]열동력B예비결과
    실제 실험과정에서 전류를 정확하게 0.05A씩 조정하는 것이 어려웠기 때문에 소수점 셋째 자리에서 반올림하여 값을 기록하였고, 그에 따른 전압을 관찰하여 기록하였다. ... 다음의 문항들에 대해서 자신의 의견을 타당한 근거와 함께 서술하시오.- I-V 곡선이 위와 같은 형태를 보이는 원인을 밝히고 그 원리를 설명하시오.I-V 곡선은 태양광 발전의 성능을 ... 실험에서 측정한 값을 성능 실험표에 기록하고 다음의 값들을 계산하시오- 태양광 발전 효율 (최대 전력의 경우)열동력 실험 B에서는 인공태양을 이용하여 태양광 패널에 빛을 쪼여 조도계를
    리포트 | 7페이지 | 1,500원 | 등록일 2021.01.27
  • (Jelly) A+ 기초전기전자실험 RLC 회로
    기초전기전자실험RLC 회로기계공학실험 기계공학부0 000 교수님0000000000 000RLC 실험 보고서1.실험 목적1) RLC 직렬 회로의 임피던스, 리액턴스, 위상각, 공진주파수를 ... 기호로 대문자 C를 사용하며, 그 단위는 F(farad)를 사용한다.캐패시터 정전용량의 크기는 유전체의 유전율과 전극의 면적에 비례하고 전극사이의 거리에 반비례한다.-6 필터 (고주파 ... 실험 배경-1 임피던스(1) 직렬회로R, L, C가 직렬로 접속된 회로에서 전압, 전류의 파형과 페이저도를 표시하면 다음과 같다.이때V=`V _{R} +V _{L} +V _{C}를
    리포트 | 10페이지 | 2,500원 | 등록일 2020.04.08 | 수정일 2020.04.09
  • 아주대 기초전기실험 예비보고서 DC 5, 6, 7
    실험목적- 병렬 DC회로의 전압과 전류를 측정한다.- 키르히호프의 전류법칙을 확인한다.- 전류 분배 법칙을 적용해본다.2. ... 실험이론1) 키르히호프의 전류법칙(KCL)- 키르히호프의 제 1법칙, 분기점법칙이라고도 하며, 회로의 한 분기점으로 들어오는 모든 전류의 합과 그 분기점에서 나가는 모든 전류들의 합은 ... 저항 3개를 병렬연결했지만, open된 도선의 저항은 무한대값을 가지기 때 문에 합성저항을 구할 경우R _{3}의 값은 영향을 미치지 못할 것이다.- 그림 7의 회로는 쇼트되어있는
    리포트 | 10페이지 | 1,000원 | 등록일 2020.09.13
  • A+)일반물리실험2 전류계만들기 실험 예비보고서
    실험 4. 전류계 만들기 예비보고서(1) 실험 목적- 전류에 의해 만들어지는 자기장을 이해하고, 이를 이용해서 전류계를 만들어본다. ... 제작이 끝나고 나면 조교가 전류계의 정확도를 측정하여 그에 따른 점수를 준다.(2) 실험 준비물- 준비물: 나침반, 집게 전선 2개, 에나멜 도선, 문구용 칼, 각도계, 건전지 소켓 ... , 건전지(1.5 V 대형), 저항(12 Ω), 각도가 표시되어 있는 종이 1장, [직류 전원:조교용만 1개](3) 예비 보고서이번 실험에서는 나침반을 이용한 전류계(전선에 흐르는
    리포트 | 2페이지 | 1,000원 | 등록일 2021.05.15 | 수정일 2021.09.03
  • 휘스톤 브릿지를 이용한 전기저항 측정 예비결과레포트
    는 12), 13), 14)를 반복하여 세 번 정도 측정한다.16)R _{x}의 값을 결정하고 표준평균값R _{n}과 비교하여 오차범위를 확인한다.17) 나머지 세 개의 저항체에 대해서도 ... 검류계에 있는 스위치를 닫았을 때 검류계의 지침이 0이 된다는 것은 a와 b 사이에 전류가 흐르지 않는다는 것을 말하며, a와 b점은 등전위점이 되었다는 뜻이다. ... 검류계를 통과하는 전류가 0이므로I _{1} =I _{2} ,I _{x} =I _{k}가 되어, 식 (1)과 (2) 의 비를 구하면{R _{x}} over {R _{k}} = {R
    리포트 | 7페이지 | 1,000원 | 등록일 2022.02.18
  • e/m 측정실험(과학영재학교)
    물리실험보고서*이 실험보고서는 고등학교 실험교과목에서 작성된 것으로 대학교 물리실험 교과목에서 요구하는 난이도와 양으로 적합하지 않을 수 있습니다.1.실험주제: 'e/m' 값 측정2 ... .실험목표: 전류가 있는 자기장 안의 공간에서, 비오사바르의 법칙 등을 유도하여 ‘e/m' 값을 구하여 본다.3. ... 때에도 고정시킨다.8.실험결과전압(V)반지름(m)전류(A)e/m1000.051.71.1395*10^100.061.41.1668*10^100.071.21.1668*10^100.081.031.2126
    리포트 | 3페이지 | 3,000원 | 등록일 2020.01.05
  • 표준일반화학실험-대한화학회-화학전지A+레포트
    특히 전류를 만들어서 전기에너지원으로 사용하기 위한 화학전지를 “갈바니 전지”라고 부른다. ... 전자는 산화전극에서 환원전극 쪽으로 흘러가므로, 전류는 환원전극에서 산화전극 쪽으로 흘러간다. ... 화학 전지화학 전지()실험목적자발적 화학 반응으로 일어나는 전자 이동을 이용하여 전기 에너지를 얻는 전지의 원리를 알아보고, 몇 가지 금속 이온의 전기화학적 서열을 확인한다.실험이론화합물은
    리포트 | 6페이지 | 2,000원 | 등록일 2022.03.29 | 수정일 2022.10.26
  • 디지털 논리회로의 응용 D/A, A/D Converter/반도체 기억장치
    이론값은 테브냉 등가를 이용하여서 1000, 0100, 0010, 0001의 경우에 해당하는 전압을 계산하였고, 그 외의 값들에 대해서는 이들을 더하여서 계산하였다. ... 기본적으로 반전된 입력이 가상 접지에 있기에 전류가 2R 등가 저항을 통과하지 않는다.그림2-(a)그러므로 R7을 통과하는 전류는 또한 Rf를 통과한다. ... 디지털 신호를 아날로그 신호로 바꾸는 방법은 디지털 신호에 해당하는 값을 그에 대응하는 전압이나 전류로 바꾸는 것이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.03.03
  • 앰코코리아 TEST 엔지니어 최종합격자 자소서 / 인증 有
    Probe station을 통해 소자의 누설전류를 측정하고 MEIS, XRD, XPS 데이터를 분석하여 누설전류가 감소하는 메커니즘에 대해 밝힐 수 있었습니다. ... . / 1000자 제한[공정실습, NCS교육, 졸업논문 → 반도체 전문성]‘박막 공학’, ‘신소재 및 실험’을 통해 반도체 공정의 종류와 특징에 대해 학습하였고, 실험실에서 Thermal ... 통계수치와 그래프를 해석하여 입자의 크기, 실험 온도, 건조 기간이 방수성과 큰 연관이 있음을 알 수 있었습니다.입자가 큰 전분을 이용하고, 실험온도를 600K로 상승시켰으며, 건조
    자기소개서 | 6페이지 | 3,000원 | 등록일 2021.07.14
  • RLC 직렬회로분석
    목적①R, C, L 각 소자의 특성을 알아본다.②교류회로에서의 전압과 전류 사이의 위상개념을 이해하고, 교류회로에서의 임피던스에 대해서 알아본다.2. ... 실험기구RLC 회로상자, 디지털 전류계, 디지털 전압계, 슬라이 닥스4. ... 검토이번 실험에서 접한 실험도구가 좀 생소해서측정하는데 처음에 애를 먹었다.앞으로 회로 측정시에는 이번 실험에서의 경험을 토대로잘 할수 있을 것 같다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.03.16
  • 전자회로실험1 10주차예보
    MOS 디지털 집적회로는 대부분의 부하를 능동 소자로 설계하는데, 이들 IC에는 MOSFET이 다른 MOSFET을 위한 능동 부하로서 사용되고 있다.- 입력 바이어스 전류 : 그림 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부전자회로 실험 예비보고서이름 :학번 :실험 제목연산증폭기의 특성실험 목적1.연산 증폭기의 이득은 출려단에서 입력단으로의 ... 이상적으로 Q11은 정전류원처럼 동작하므로, 그것의 임피던스는 무한대에 가깝다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.07.29
  • [A+보고서] 회로실험 CMOS-TTL Interface 예비보고서
    따라서 p-channel FET source에 대해서 gate 입력은 -VDD, n-channel FET source에 대해서 gate 입력은 0[V]이므로 p-channel FET는 ... 실험 목적(1) CMOS의 동작을 이해한다.(2) CMOS와 TTL의 Interfacing 방법에 대하여 이해한다.? 이론1. ... 실험 준비물(1) SN7406(2) 4001(3) 4011(4) 4050(5) Resistor 470[ ^{prime } OMEGA ], 1[K ^{prime } OMEGA ], 2.2
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.24 | 수정일 2024.07.21
  • [경희대 A+] 기초 회로 실험 레포트
    관련 이론1) 옴의 법칙: 대부분의 저항체는 그 양단에 걸리는 전압 V와 흐르는 전류 I가 비례한다. ... 실험 제목: 기초회로 실험2. ... 전압을 걸어주면 공핍층 두께가 두꺼워져 전류는 거의 흐르지 않게 된다.3) 키르히호프 법칙복잡한 회로의 경우는 옴의 법칙을 적용하는 것처럼 단순한 분석으로 전류를 구하기 힘든 경우가
    리포트 | 7페이지 | 1,000원 | 등록일 2020.07.13
  • 기초전자회로실험 - RL,RC 직렬및병렬회로 예비레포트
    측정한다.18-(1) RC 병렬 회로의 특성을 실험한다.18-(2) RL 병렬 회로의 특성을 실험한다.18-(3) RL 병렬회로의 전류 위상차를 시뮬레이션으로 확인한다.3. ... 실험 목적(주제) :17-(1) RC 직렬회로의 특성을 실험한다.17-(2) RL 직렬회로의 특성을 실험한다.17-(3) RC 직렬회로와 RL 직렬회로의 전압 위상차를 오실로스코프로 ... #참고로,`리액턴스는`교류회로에서`R을`제외한`한`종류의`소자에`대해서`옴의`법칙`형태로#`나타내어`저항정도를`나타낼`때이고,#임피던스는``교류회로에서`2가지`이상의`종류의`소자들을
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • [A+] 중앙대 전기회로설계실습 3차 예비보고서
    목적부하효과를 고려한 분압기(Voltage Divider)를 설계, 제작하고 설계와 실험값을 비교, 분석한다.2. ... 설계실습 계획서설계 목표는 출력전압이 12V로 고정되어 있는 한 대의 DC power supply를 이용하여 정격전압이 3V, 정격전류가 3mA인 IC Chip에 전력을 공급할 수 ... 준비물- 기본 장비 및 선Function generator : 1대DC Power Supply(Regulated DC Power supply(Max 20 V 이상) : 1대Digital
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.19
  • [A+결과보고서] 설계실습 1. 저항, 전압, 전류의 측정방법 설계
    코로나로 인한 대면수업 불가로 실험조교의 강의영상을 참조하였기 때문에 4.1-1(b)~(e), 4.1-2, 4.5(a) 등은 생략하였다. ... 서론DMM을 이용하여 저항, 전압, 전류의 측정방법을 익히고 실험결과 분석을 통하여 Ohm의 법칙과 KVL, KCL을 이해한다.2. 설계실습 결과4.1-1. ... 그리고 6V건전지와 DC Power Supply의 4.5V출력을 DMM으로 측정하여 오차의 원인에 대해서도 생각해 보며, 회로의 전압과 전류를 내부 측정 시 내부저항과 전압, 저항의
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.08 | 수정일 2022.03.10
  • 전력과 에너지 결과보고서 A+
    또한 LED(일종의 저항)가 직렬연결일 때와 병렬로 연결될 때 나타나는 밝기 및 전력에 대해서도 실험을 통해 확인해 볼 수 있었다. ... 또한 도선 자체의 저항으로 인해 전류가 온전히 흐르지 못한점도 들 수가 있다.실험 6번의 경우 1kΩ 1/4W저항이 안전하게 동작할 수 있는 전압과 전류의 한계는 약15.81V, 15.81mA가 ... 전류에서의 오차는 도선자체의 저항으로 인해 발생한 것이라고 여겨진다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 25일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대