• 통큰쿠폰이벤트-통합
  • 통합검색(308)
  • 리포트(285)
  • 시험자료(17)
  • 방송통신대(4)
  • 자기소개서(2)

"sacd" 검색결과 161-180 / 308건

  • [신생아실] 신생아의 질병
    효과를 높이기 위해서 수혈하기 1~2시간 전에 알부민을 주입한다.④ 어머니의 혈액형 검사도 같이 하도록 한다.⑤ 수혈용 혈액에는 항응고제로 acid citrate dextrose(ACD ... )을 분비하는 typeⅡ 폐포 세포 기능이 불충분하고, 폐 탄성 조직(elastic tissue)이 잘 (5) 위장관① 흡철반사(sucking reflex)와 연하반사(swallowing ... , syphilis② 분만 중에 감염된 양수를 마셨을 때③ 조기패혈증(3일이내)은 주산기에 생긴다.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.06.18
  • 16V8을 이용한 7-Segment용 디코더 설계
    ON*LT*C+RBI*|A*ON*LT*|C*D+|RBI*A*ON*LT*|C*D+|RBI*|A*|B*ON*LT*D+|RBI*|A*B*ON*|C*|D=(((|B|D)+(|B|C)+(|ACD ... seg_A ? ... seg_B ?
    리포트 | 46페이지 | 2,000원 | 등록일 2012.04.17 | 수정일 2021.07.04
  • 신생아 집중치료 (교환수혈, 청력검사)
    Protamine sulfate를 주입하면 헤파린 대사를 도와 응고장애를 감소시킨다.* 간호1. ... 만약 citrate-phosphate-dextrose를 보존제로서 첨가했다면 ACD보다는 덜하지만, 그래도 부작용이 있다. ... 이 정도 양이면 적혈구의 85~90%가 효과적으로 교환이 되기 때문이다.수혈용 혈액에는 항응고제로 acid citrate dextrose(ACD)가 포함되어 있기 때문에 혈중 칼슘수치가
    리포트 | 3페이지 | 1,000원 | 등록일 2009.12.10
  • global warming issue
    84%88%EC%A7%80%EC%A0%88%EC%95%BD imgurl=http://cfs7.tistory.com/image/15/tistory/2008/08/21/11/19/48acd09b56d6e ... encyc_id=1173073 page=12 schLang=kor schGroup= schType= schText=%A4%B8GreenHouse Effect Greenhouse gases ... such as carbon dioxide in the earth from the sun, the shorter wavelength of the incoming solar radiation
    리포트 | 11페이지 | 1,000원 | 등록일 2011.12.08
  • 정형외과 고관절 반치환술
    과거병력(past history) :- ACD(anemia of chronic disease : 만성 질환의 빈혈)로 수술위해 입원함.- 태어날때부터 선천적으로 Rt eye실명상태임 ... case studyⅠ 일반정보1. ... 현병력(present illness) :- 2011. 04 21 침대에서 slip down Rt hip pain 유지되어 Rt long leg splint 하고 수술위해 입원함.3.
    리포트 | 23페이지 | 2,000원 | 등록일 2013.04.25
  • OBGY Prorapse case study
    잡고 cervicovaginal junction을 둘러싼 절개를 하고 지혈기로 지혈시키면서 자른다.④ 절개 부위를 잡은 후, 가위로 vesicouterine fold까지 박리하여 ACDS를 ... 2001년: subtotal gastrectomy(위의 2/3 절제)? 2005년: 백내장 수술5) 가족력? 없음6) 최근 투약상태? 관절염약: 3회/1일? ... ligament를 잡고 지혈기로 자르고 봉합한다.⑩ 양쪽 paracervical tissue를 잡고 지혈기로 자르고 봉합한다.⑪ Uterus fundus를 잡고 좌측의 salpinx의
    리포트 | 16페이지 | 1,500원 | 등록일 2013.06.18
  • 미미박스의 인도 진출
    관세와 상계 관세의 단순 합보다 약간 높아지게 된다.여기에 2006-07년부터 새로이 기본 관세 인하에 따른 내국 산업 보호 목적의 추가적인 특별 관세로서 상당수의 품목에 대해 ACD ... 활용하여 소비자들에게 좀 더 긴 기간 동안 광고를 노출시킬 수 있다.오프라인 활용① 오프라인 매장 등특정 대도시 5~6개에 설치된 가게나, 지역적으로 잘 발달되어 있는 drug store
    리포트 | 39페이지 | 3,000원 | 등록일 2013.12.10
  • 광고학 용어정리
    광고론 (2011/3/25일 과제물)경영학과 0420970 윤 정현광고학 용어정리감정전이 가설감정전이 가설은 브랜드태도(AB)가 광고태도 (Acd)에 미치는 영향을 고전적 조건화로 ... 그러므로 피 실험자들 중 상당수는 제품이 주는 혜택에 대한 아무런 심사숙고 없이 단지 그들의 광고상황에서 나타난 음악을 통해 선택행동이 영향을 받은 것이라고 주장하였다.S S P R관여도의 ... 따라서 이러한 상황에서는 제품구매와 관련된 소비자의 관여도가 증가한다.I S P R개인의 장기 기억속에 저장되어 있거나 과거 경험으로부터 획득된 어떤 대상에 대한 개인적인 관련지식이
    리포트 | 2페이지 | 1,000원 | 등록일 2011.04.06
  • VTH
    (시작전 에피네피린주사)④Allis 1개로 절개 부위를 잡은후 가위로 vesicouterine fold(방광자궁샛길)까지 박리하여 ACDS를 노출시킨다.⑤안쪽 질벽을 지혈기로 잘라 ... 1.⑥N/S 1L 뚜껑을 따서 준비해 놓는다.⑦foley 카데터와 소변줄 백을 준비하고 5cc syringe에 N/S5cc 준비한다. ... 젤코로 IV start 한다.7)세파 스킨 테스트 15분후 확인하고 IV로 준다.3.수술방에서 준비 사항.1)수술기구 준비.①C/Sec set에 픽업?
    리포트 | 5페이지 | 1,500원 | 등록일 2011.06.06
  • [임상병리]Donor Lymphocyte Infusion
    시약1) 0.9% Normal saline 1000ml2) 0.9% Normal saline 50ml3) ACD-A Sol. 500ml4) Heparine (25.000 Unit) ... line을 ACD bag에 연결한다.* filter가 있는 경우는 filter가 line detector 밑에 위치 하도록 한다.⑹ saline lines (access & return ... "MENU ON/OFF"를 눌러서 “3” (CCM)을 누르면 현재 Yield와마지막 결과를 확인할 수 있다.⑶ 진행■ 진행 시 준비 사항◎ 500㎖ ACD-A액에 3000unit의
    리포트 | 8페이지 | 2,000원 | 등록일 2008.05.22
  • 빈혈
    , Hb H disease, S beta thalassemiaN N : Normal, ACD, hereditary spherocytosis, hemoglobinopathy traitI ... 빈혈의 감별진단RDW MCVN D : Thalassemia minor, anemia of chronic disease(ACD)I D : Iron deficiency, RBC fragmentation ... 분열은 더 계속하므로 microcytic anemia 가 된다.ex) sideroblastic anemia : hem synthesis 결함thalassemia : globin synthesis
    리포트 | 6페이지 | 2,000원 | 등록일 2009.10.22
  • 물실예비 휘트스톤
    따라서 ACD폐회로와 CDB폐회로에서 키르히호프 제 2법칙을 적용하면이고,이므로또는가 된다. 여기서의 저항값을 알고 있으므로 미지 저항의 값을 구할 수 있다. ... °도선의 전기 저항도선의 전기 저항 R는 도선의 길이에 비례하고, 도선의 단면적 S에 반비례한다.여기서 비례상수(rho)는 물질의 종류에 따라 정해지는 값으로 비저항이라고 한 다. ... 구멍에 꼽는 다3.실험방법① 위 그림 과같이Slide-Wire형Wheatstone Bridge를 설치한다.② 회로에전원을공급하기전에회로가잘못연결되지않았는지확인한다.③ DC power supply의
    리포트 | 3페이지 | 2,000원 | 등록일 2010.11.22
  • 웹디자인 및 기획 (실버산업에서 사업기회 찾기 및 웹디자인 및 기획) 두번째 자료
    91 FFD05B R:255 G:76 B: 0 FF4C00 R:255 G:186 B:20 FFBA14 R:239 G:209 B:136 EFD188 R:154 G:205 B:50 9acd3220 ... · 편안한 색을 이용하여 차분한 이미지 조성 · 아이콘과 심볼 등의 시각적인 요소를 이용하여 신속한 의미 전달 · 제품의 상세한 사진을 보기 쉽도록 설계 디자인 목적과 컨셉정의 simple ... 로 고 - 노다지 소개 ───────── 노인장기요양보험 노다지 카페 on ☞입장화면번호 011 화면이름 화면 설명 [sub] 제품 페이지 Login · 회원가입 · 아이디 / 비번
    리포트 | 23페이지 | 1,500원 | 등록일 2012.06.25 | 수정일 2014.12.10
  • A++(인기자료) 내과 의학용어 및 약어(소화기내과),병원용어,의학용어,의학약어,소화기용어,내과용어,소화기내과,소화기내과용어
    위산 흡입 증후군AAH Acute alcoholic hepatitis 급성 알코올성 간염ACD anemia of chronic disease 만성질환에 의한 빈혈ACH adrenal ... 대변 잠혈SOW sips of water 물만 조금씩 마시기SP suppository 항문에 넣으시오SSE saline solution enema 식염수 관장SSE soap saline ... quantity not sufficient 불충분한 양qod every other day 이틀에 한번qs quantum satis, quantum sufficient 적당량, 충분한량RSG
    리포트 | 12페이지 | 1,000원 | 등록일 2011.05.03
  • 부경대 기계자동차공학과 마이크로프로세서 응용 및 실험 텀프로젝트
    이 부분은 생략한다.)② ADCSRA(ACD Control and Status Register A)- Bit 7 : ADC 동작을 활성화시킨다. ‘1’이 기록되면 ADC가 동작한다. ... compatible with various types of logicDIP-18pinpin connection (top view)schematics (each driver)5) 관련 ... voltage50V MIN5V MINOutput current-500mA MIN500mA MAXnoteoutput clamp diodessingle supply voltageinput
    리포트 | 23페이지 | 3,000원 | 등록일 2012.12.24
  • [창의공학] 무선 알람 벨 사업제안서
    기술개발 내용 2) 세부개발 내용 ( 디스플레이 단말기 제어 ) TN029001ACD 79.2*49.65 MONO STN 디스플레이 사용 . ... 전체 시스템 구성 ㈜ Creative S 5. 시제품 설계 , 시뮬레이션 ㈜ Creative S ㈜ 벨럭스 6. ... 계획수립 및 조사 ㈜ 벨럭스 2.Software 작성 미디어디바이스센터 ㈜ Creative S 3.Wi-Fi 시스템 구성 ㈜ Creative S 4.
    리포트 | 27페이지 | 3,000원 | 등록일 2012.04.18
  • 부경대 기계자동차공학과 마이크로프로세서 응용 및 실험 실험과제 4
    이 부분은 생략한다.)② ADCSRA(ACD Control and Status Register A)- Bit 7 : ADC 동작을 활성화시킨다. ‘1’이 기록되면 Ait 4 : 수신 ... 전압 값을 읽어 그 값을 PC에서 확인 할 수 있게 하는 프로그램을 작성제한조건준비물 : 가변저항, 마이컴, 직렬통신선, LED입력전압 범위 : 0~5V소수점 2자리까지 표시0.5sec마다 ... PC로 데이터 전송전송문자 : 숫자, ‘V', 줄바꿈 문자 전송(’\r', '\n')데이터 전송시작 : PC에서 마이컴으로 ‘q' 전송시데이터 전송종료 : PC에서 마이컴으로 ‘s'
    리포트 | 13페이지 | 2,000원 | 등록일 2012.12.24
  • 피타고라스의 또다른 정리들
    CD와 AC가 같은이등변삼각형 ACD를 그리고 CD = AC = b 라고 한다. ... △ABD = △ABE + △ACD + △BCE(c + EF)·c = c·EF + b² + a²∴ a² + b² = c²(6)다음과 같이 원을 그리고 원의 지름을이용하여 AB = c ... = 2 x { 1/2a·sin (π/2-θ/2)·a·cos (π/2-θ/2) + 1/2b·cos (θ/2)·b·sin(θ/2)}S = 1/2a²·sin (π - θ) + 1/2b²·
    리포트 | 8페이지 | 2,000원 | 등록일 2009.11.20
  • 수학세계로의 여행 레포트(banzhaf ,Shapley-Shubik power index)
    = 6(○ ○ ○ A) 경우 : B=2, C=2, D=23단계 : P가 pivotal player가 되는 횟수 S를 구한다.A=12B=C=D=44단계 : S/N! ... 4가지(A,B,C,D) = 1가지총 4+6+4+1=15가지2단계 : 승리연합을 결정한다.2표일 때 회장이 포함될 경우(A,B),(A,C),(A,D)3표일 경우(ABC),(ABD),(ACD
    리포트 | 4페이지 | 3,000원 | 등록일 2010.10.02
  • Dolby system,DTS,THX,SACD
    임장감(현실감) 극대화를 위한 2개의 분리된 서라운드 채널과, 저역의 사운드이펙트를 위한 별도의 ".1", 즉 "LFE(low-frequency (bass) special sound ... --------77)오디오 포맷(Delivery formats) --------------------------------------98)직접회로(Integrated circuits)
    리포트 | 50페이지 | 3,200원 | 등록일 2006.10.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대