• 통큰쿠폰이벤트-통합
  • 통합검색(6,080)
  • 리포트(5,752)
  • 시험자료(167)
  • 자기소개서(50)
  • 방송통신대(37)
  • 논문(34)
  • 서식(27)
  • ppt테마(12)
  • 노하우(1)

바로가기

TB 독후감 - TB 관련 독후감 10건 제공

"TB" 검색결과 161-180 / 6,080건

  • BCD가산기 verilog 설계
    initialbegin$dumpfile("tb_BCD_ADDER_out.vcd");$dumpvars(-1,tb);$monitor("%b",RESULT);endinitialbeginA ... ;reg [3:0] A;reg [3:0] B;wire C;wire [3:0] RESULT;BCD_ADDER tb(.A(A), .B(B), .C(C), .RESULT(RESULT)); ... B )beginmid_sum = A + B;if (mid_sum > 9)mid_sum = mid_sum + 6;endendmodule`timescale 1ns/10psmodule tb_BCD_ADDER
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • CASESTUDY 결핵- 영양부족, 낙상위험성
    CASESTUDY-PULMONARY TUBERCULOSIS-Ⅰ. 서론가. 사례선정이유00병원 0병동 house orientation을 받으면서 음압 병실에 대한 설명을 받았다. 마침 비어있는 병실이 있어서 직접 들어가 보았는데 음압이 유지되는 것도 신기했고 어떤 질환을..
    리포트 | 14페이지 | 5,000원 | 등록일 2022.03.15 | 수정일 2022.03.18
  • 신생아황달 간호과정, CASESTUDY, 아동간호학실습, A+보장
    data- “아기가 황달수치가 높데요.”O-data- 재태연령 36 +6 주- C.C 4/29 TB :8.6mg/dL 측정되어 관찰하다가 5/1 OPD f/u에서 TB :14.2mg ... Yellow- 황달로 인한 Phototherapy (+)- 임상 화학검사항목정상수치5/3TB0.4~1.5 mg/dL14.8▲ALP35~95 IU/L196▲- Physical exam피부 ... 과정과 관련된 신생아 황달 (Neonatal Jaundice) : 비결합 빌리루빈으로 인해 생후 24시간에 빌생한 신생아 피부와 점막의 황달간호목표단기목표- 대상자는 3일 내로 TB
    리포트 | 2페이지 | 2,500원 | 등록일 2020.10.14
  • 패리티체크 verilog 설계
    ;reg [7:0] data_in;wire error;integer i;ParityCheck tb(.data_in(data_in), .error(error));initialbegin ... $dumpfile("test_ParityCheck_out.vcd");$dumpvars(-1,tb);$monitor("%b", error);endinitialbegindata_in = ... data)};endendtaskalways @ (data_in) begincheck(data_in,error);endendmodule`timescale 1ns/10psmodule tb_ParaityCheck
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • NICU case/NICU사례보고서/아동실습 사례보고서/미숙아/A+/간호진단3개/가스교환장애,위장관운동장애,감염위험성
    ventilation) 1-2분 시행 후 pinkish color돌아옴-왼쪽 가슴에 멍 관찰-9/23 11:00, 9/24 09:00, 9/24 15:00 육안적 황달 관찰: mild (TB
    리포트 | 15페이지 | 2,000원 | 등록일 2020.08.04
  • (A+) IM1 케이스 내과 케이스 ESRD, DM, hemodialysis, dyspnea (총 21페이지, 간호과정 5개)
    :00요산 낮춤비정상적 간효소수치Renalmin1TB PO *01 08:00비타민 B,C 보급Lasix40mg 1TB PO *02이뇨제구역, 구토, 설사Adalat Oros30mg ... 1TB PO *02고혈압약 (칼슘통로 차단제)현기증, 두통Valsarbell40mg 1PK PO *01 08:00고혈압약현기증, 두통Kashutsuspension20ml 1TB PO ... *01 08:00고칼륨혈증 치료제변비, 식욕부진Rabiet20mg 1TB PO *01 08:00PPI발진, 위장장애, 두통premina0.625mg 1TB PO *01 08:00호르몬제질칸디다증
    리포트 | 21페이지 | 2,000원 | 등록일 2021.08.29
  • 디지털 시스템 설계 및 실습 리플가산기 설계 verilog
    `timescale 1ns/10psmodule tb_CLA;reg [3:0] A,B;reg c_in;wire [3:0] S;wire c_out;CLA4 tb(.A(A),.B(B),. ... (P[3] & P[2] & G[1]) |(P[3] & P[2] & P[1] & G[0]) | (P[3] & P[2] & P[1] & P[0] & c_in);endmodule2) tb_CLA.v ... c_in(c_in),.S(S),.c_out(c_out));initialbegin$dumpfile("test_cla_out.vcd");$dumpvars(-1, tb);endinitialbeginc_in
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • [공통교양과목] 2023년 1학기 컴퓨터의이해 중간시험과제물 공통(슈퍼컴퓨터, 메타버스, 반도체 기억장치)
    일반적으로 한번 계산을 시작하면 슈퍼컴퓨터에서도 1달 이상, 크게는 몇 년이 걸리기도 하며 RAM 사용량만도 수 TB는 우습게 넘기 때문에 일반 컴퓨터는 실행조차 불가능하다.
    방송통신대 | 10페이지 | 16,500원 | 등록일 2023.03.12
  • 간호 약리학 내분비계 약물, 케이스 중심으로
    MR 35 ㎎/tab 1 TB Bid 2p Isotril SR 60 ㎎/tab 1 TB Qd pm CREstor 10 ㎎/tab 1 TB Qd pm CONCOR 2.5㎎ TAB 1 ... TB Qd pm HERben 30 ㎎/tab 1 TB Bid 2p임상간호약리학 약물 ( 지참약 ) Amaryl 2 ㎎ (glimepiride 2 ㎎) 작용기전 : 췌장의 β-cell ... Bid 2p Forxiga 10 ㎎/tab 1 TB Qd pm GLUpa 850 ㎎/tab 1 TB Bid 2p Astrix(Bio) 100 ㎎/cap 1 CP Qd pm Vastinan
    리포트 | 14페이지 | 3,000원 | 등록일 2019.11.23 | 수정일 2019.11.26
  • 클럭분주회로설계 verilog 설계
    ;reg clk;reg rst;ClockDivider tb(.clk(clk), .rst(rst));initialbegin$dumpfile("test_ClockDivider.vcd") ... ;$dumpvars(-1,tb);endinitialclk=1'b0;always#30 clk=~clk;initialbeginrst =1'b0; #30rst=1'b1; #30#10000 ... b0000000000000000001;S1:count= count -19'b0000000000000000001;endcaseendmodule`timescale 1ns/10psmodule tb_ClockDivider
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 인하대 fpga 2주차 full adder 보고서
    tb_fulladder4; //fulladder4의 tb이므로 모듈이름을 다음과같이 설정한다.// Inputs //tb에서 모듈의 input은 reg형으로 선언해야함을 확인한다.reg ... */end // 신호 선언을 종료endmodule //tb module을 종료앞서 작성한 tb code의 simulation 결과이다. ... 이때 4bit adder가 정상 작동하면 그것의 하위단계에 들어있는 1bit adder code는 정상적일 것이라고 생각하고 4bit adder의 tb만 첨부할 것 이다.module
    리포트 | 5페이지 | 3,000원 | 등록일 2020.07.07
  • 전류저울 결과보고서 (일반물리실험)
    =0.9746TB=0.8650TB=0.8846T3. ... 00.00000.00000.0000.50.050.00050.50.090.00090.50.130.00131.00.080.00081.00.180.00181.00.280.00281.50.140.00141.50.280.00281.50.420.00422.00.190.00192.00.380.00382.00.570.00572.50.240.00242.50.480.00482.50.710.00713.00.290.00293.00.580.00583.00.870.0087B=0.7792TB ... =0.8500TB=0.8743TL=4.2cmL=6.4cmL=8.4cm전류(A)질량(g)힘(N)전류(A)질량(g)힘(N)전류(A)질량(g)힘(N)00.00000.00000.0000.50.200.00200.50.280.00280.50.370.00371.00.390.00391.00.560.00561.00.750.00751.50.590.00591.50.830.00851.51.120.01122.00.790.00792.01.100.01102.01.490.01492.50.960.00962.51.370.01372.51.850.01853.01.160.01163.01.650.01653.02.210.0221B
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • 결핵균
    )와 광범위내성결핵(XDR-TB)로 구분 ... 허리에 통증/ 결핵성 뇌막염 : 두통, 구토※약제내성결핵-결핵 치료약제를 처방대로 규칙적으로 복용하지 않거나 조기에 중단했을 때-의료진이 잘못된 처방을 할 때-다제내성결핵(MDR-TB
    리포트 | 1페이지 | 1,000원 | 등록일 2022.04.12
  • 디지털 시스템 설계 및 실습 크기비교기 설계 verilog
    2. 비교기 이론 내용 기술 2bit 비교기를 사용하여 4bit인 두 수 a, b를 입력값으로 주게 되면 이를 2bit씩 쪼개어 두 개의 2bit 비교기 회로에서 비교한다. 첫 번째 2bit 비교기에서 이에 따른 값으로 a>b 이면 Gt_O = 1, a=b 이면 Eq_..
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 서울시립대학교 일반대학원 전자전기컴퓨터공학부 연구계획서
    최적화를 사용하여 병렬 루프를 예약하는 확률적 기계 학습 접근 방식 연구, 척추 분할을 위한 디컨볼루션 레이어가 포함된 CNN을 사용한 흉부 단층영상 합성 디블러링 연구, UAV-TB
    자기소개서 | 1페이지 | 3,800원 | 등록일 2024.05.08
  • 부산대학교 일반대학원 인지메카트로닉스공학과 연구계획서
    눈 나노구조 메타마스크를 통한 향상된 투과율에 의한 첨단 광학 나노리소그래피 연구 등을 하고 싶습니다.저는 또한 효율적인 X선 감쇠를 위한 새로운 조영제인 테르븀 도핑 탄소 도트(Tb-CD
    자기소개서 | 1페이지 | 3,800원 | 등록일 2024.05.14
  • 청운대학교 인천캠퍼스 IT기술의 이해와 동향 한도 금액에 따른 PC 세팅 과제
    Barracuda ST1000DM010 47,100 1TB SATA3(6Gb/s) 7,200 일반 사무 1TB BLUE WD10EZEX 47,150 1TB SATA3(6Gb/s) ... 7,200 게임 매니아 2TB Barracuda ST2000D M006 65,800 2TB SATA3(6Gb/s) 7,200 S/W 개발자 4TB BULE WD40EZRZ 121,800 ... RAM DDR4 8G PC4-19200 CL17 PRISTINE ( GeIL ) 85,870 원 GPU GTX1050 STORMX Nano D5 2GB 188,850 원 하드디스크 1TB
    리포트 | 17페이지 | 1,000원 | 등록일 2020.07.18
  • A+폐렴케이스(간호진단4, 간호과정4, 문헌고찰, 간호사정 등)
    T(대웅)1 TB *01 PO /Pow.혈압강하제가슴통증, 말초부종, 현기증, 복통, 소화불량, 근육통본태성 고혈압Norvasc 5mg/T(화이자)1 TB *01 PO /Pow. @ ... /Pow.중추신경용약수면장애, 불안, 망상, 헛소리, 심실세동, 혈압저하파킨슨증후군Tizarid 1mg/T(광동)1 TB /Pow근이완제졸음, 어지러움, 저혈압, 입마름, 피로근육 ... 경직성 개선 및 근경련 감소Keppra 500mg/T(유씨비)1 TB /Pow.항전간제졸음, 피로, 부분 발작, 무력증2차성 전신발작 동반, 부분발작의 치료Olmetec 20mg/
    리포트 | 29페이지 | 3,000원 | 등록일 2023.01.24
  • 협심증케이스 약물
    약물용량작용부작용간호 중재Aspirin protect (Aspirin)100mg/T 1TB * 01 PO• 항혈소판제• thromboxane에 의한 혈소판 응집을 억제• 혈전,색전형성의 ... 중단해야함• 투여 첫째 주 및 침습성 심장 처치 또는 수술 이후에 잠재출혈을 포함한 모든 출혈증상에 대하여 환자를 주의해서 관찰해야함Diovan(valsartan)80mg/T 1TB ... 거대적아구성 빈혈이 유발될 수 있음• 철분 아스피린 3-4 g/day의 지속적 사용으로 철결핍성 빈혈이 유발될 수 있음Plavix (Clopidogrel bisulfate)75mg/T 1TB
    리포트 | 4페이지 | 2,000원 | 등록일 2021.11.17
  • 결핵 문헌고찰 및 케이스스터디 (환자 데이터수집 포함, 간호진단 및 간호과정 불포함)
    [Case study]TuberculosisⅠ. 서론1. 연구의 필요성 및 목적결핵균(Mycobacterium tuberculosis)은 수천 년 동안 인류에게 질병을 일으켜 왔다. 특히 우리나라는 한때 '결핵왕국'으로 불리울 정도로 많은 결핵 환자들이 있었으나, 결핵..
    리포트 | 18페이지 | 3,000원 | 등록일 2020.02.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대