• 통큰쿠폰이벤트-통합
  • 통합검색(267)
  • 리포트(263)
  • 시험자료(4)

"Decoder & Encoder & 실험" 검색결과 161-180 / 267건

  • 통신실험예비(Unit8)
    이는 PCM encoder가 오직 유한개의 code word들을 생산하기 때문에 일어난다. ... PCM 방식에서 aperture 왜곡은 decoder의 출력신호의 주파수 spectrum에서 발견된다. Decoder의 D/A 변환기의 출력은 계단파형을 띤다. ... 실험이론PCM signal의 생성방법은 그림2-1의 block diagram에 있다.Figure 8-1.
    리포트 | 12페이지 | 1,500원 | 등록일 2013.11.12
  • Distortion in PCM Systems
    OUTPUT B of the Clock Generator and connect it to OUTPUT 1 of the clock Generator.③ Now connect the PCM Encoder's ... 실험 목적frequency domain에서 distortion을 알아보고 샘플링 이론의 중요성과 나이퀴스트 비율을 알아본다.2. ... 실험 관련 이론아날로그 신호를 샘플링 하는 과정을 나타낸 그림이다. 왼쪽의 스위치가 닫히면 아날로그 신호가 캐페시터에 저장된다.
    리포트 | 7페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • 글로벌 촉진전략과 글로벌 광고
    글로벌 촉진(커뮤니케이션 과정의 구성요소)1) 송신자(sender) : 메시지를 수신자에게 보내는 글로벌기업을 의미하며, 커뮤니케이션 과정의 출발점2) 기호화(encoding): 송신자가 ... 비언어적 기호 또는 상징으로 변환하는 것3) 매체(media) : TV, 라디오 등과 같은 전파매체, 신문, 잡지등과 같은 인쇄매체, 옥외광고판, 직접우송, 구전 등을 통함4) 해독(decoding ... (몇 개의 실험 지역들을 대상으로 광고비와 매출액의 상관관계 분석)10.
    리포트 | 10페이지 | 2,500원 | 등록일 2017.02.16
  • Lab1 motor
    각각의 Counter 역할에 대해 자세히 살펴보면 다음과 같다.Counter1 입력 - Encoder의 CW Pulse31번의 PD6(T1)단자Counter3 입력 - Encoder의 ... 이것은 소프트웨어적으로 가능하며, 본 실험에서 ISP board를 이용해서 ATmega128 board에 있는 flash memory에 프로그램을 넣는다. ... 나온 A,B 신호를 한 clock delay 시켜 얻은 C,D 신호와 함께 모터의 방향을 결정.3) 4-to-16 Decoder(74HC154)D-FF 으로부터 얻은 ABCD 신호를
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.30
  • 실험 8. Counter(예비)
    실험 목적● 카운터의 동작 원리와 특성을 이해● 2진 시스템에서의 숫자 표시와 2진 카운터에 대한 이해● 카운터를 이용해 디코딩(Decoding)과 인코딩(Encoding)의 코드 ... 실험 8. Counter1. ... 실험 절차● 2단 2진 Counter - 비동기식 Counter (첫 번째 실험)① 회로를 구성한다.?
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 아주대 논회실 실험8 예비보고서
    실험목적카운터의 동작원리와 특성을 이해 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 ... 실험과정 및 예상 결과1) 첫 번째 실험, 2단 2진 Counter ? ... 실험하고 그 동작원리를 이해2.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 통신실험 결과5
    Now connect the PCM Encoder's clock signal cable to the BNC T-connector attached to OUTPUT 2 of the clock ... 실험 계획aperture distortion과 aliasing distortion을 확인하고, 샘플링 이론과 나이퀴스트 율을 알아본다.2. 실험 과정에 따른 결과1. ... sine wave로 나오는 신호는 양자화 된 신호로 PCM decoder의 출력 파형이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • 실험9예비 DAC&ADC
    아날로그-디지털 변환기(A/D Converter)라 불리는 특별한 encoder가 아날로그 입력을 디지털 정보로 변환하며, 반대로 디지털 시스템의 출력 측에서 해독기(decoder) ... [실험9] D/A & A/D converter1. ... 요약실험 1) DAC-Single pulse clock으로 single pulse를 가하여 D/A converter의 출력을 측정한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2014.05.13
  • 서강대학교 전자공학과 4학기(2학년 2학기)의 디지털논리회로실험 레포트입니다
    Decoders and Encoders2. ... 실험 목적(1) 일반적인 binary decoder의 동작 원리를 이해한다.(2) 7-segment decoder의 동작 원리를 이해한다.(3) Encoder의 동작 원리를 이해한다 ... 첫 디지털 실험이었기 때문에 실험 기구에 대한 이해라든지 한 학기 동안 실시할 실험을 준비하는 단계로서 오늘 실험은 매우 중요했다고 생각된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2011.03.27 | 수정일 2021.09.20
  • Lab1 motor
    여기서 Counter 1,3의 TCTN1,3 레지스터는 총 16Bit이므로 Disk Slot이 8개인 실험에서, Encoder의 slot 한 개당 Encoder Detection Logic을 ... 이 실험은 1) Microcontroller 사용에 익숙해 지기, 2) PWM switching amplifier를 사용한 DC 모터 구현하기, 3) Optical encoder를 ... 1110000111100C(Q3)0011110000111D(Q4)1111000011110131511102045그림 10은 CCW일 때 D-FF에서 나온 DCBA에 대한 4-to-16 decoder
    리포트 | 18페이지 | 5,000원 | 등록일 2012.08.30
  • Linear Delta Modulation (LDM) Sysmtem
    T-connector installed on the AUDIO OUTPUT of the lowpass audio filter located beside the Delta / CVSD Decoder ... 실험 목적LDM system에서 slope overload distortion을 확인한다. ... 실험 관련 이론(EX1, EX2의 관련 이론)델타변조 시스템은 간단한 DPCM 시스템이라고 고려될 수 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • Encoder, Decoder, Mux 예비레포트
    기초전자공학실험2실험날짜: 2008, 9, 26조 : 금요일 오전 10 조3주차 기초전자공학실험21.Title부호화기(Encoder), 해독기(Decoder), MUX2.Name금요일 ... 이번실험에서는 0~7까지의 신호를 3비트의 2진수로 코드화 시키는 것 이었다.실험 4. 3 by 8 Decoder를 gate를 이용하여 설계하고, 모의실험을 통해 검증하라.위 회로는 ... 부호화기(Encoder)상태 또는 명령들을 이진수의 코드로 변환하는 장치로 해독기(Decoder)의 반대 기능을 갖는다.
    리포트 | 14페이지 | 1,000원 | 등록일 2010.10.16
  • 실험4 예비보고서
    아날로그 데이터를 계산이 가능한 부호, 곧 각 시스템 내에서 사용하는 디지털 코드로 변환시켜 주는 인코더(encoder)의 상대용어로, 흔히 디코더(decoder)라고 한다. ... 실험 목적멀티플렉서(Multiplexer)와 디멀티플렉서(Demultiplexer)의 원리를 이해하고 실험을 통해 동작을 확인한다.2. ... 실험 4. Multiplexer & Demultiplexer1.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.01.01
  • 실험 6. Latch & Flip-Flop(결과)
    실험 6. Latch & Flip-Flop1. 실험 의의-디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. ... 실험 수행 과정? 실험 1) R-S F/F? 실험 2,3) D F/F(gate 이용, IC이용)? ... 실험 4,5) J-K F/F(IC 이용, IC이용)J-K Flip-Flop은 이전 두 실험에서 조금 더 첨가 된 것이라고 간주하면 쉽다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    Encoder, Decoder, MUX2.Name구 분학 번이 름3.Abstract1) Decoder에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다.2) Encoder에 ... 동작을 확인하고 익힌다.5) 실험2를 통하여 Encoder를 응용하여 스위치를 사용한 7-segment실제동작원리를 출력을 확인한다.6) 실험3을 통하여 8 by 3 encoder를 ... 우리조가 생각하기엔 AND게이트가 주로 사용되는 것을 보니 실험 3번과는 달리 OR게이트로 압축시키는 Encoder를 보면 이해하기가 쉬웠다.
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 실험6결과 Latch&FF
    [실험6] Encoder & Decoder1. 실험 결과1) R-S F/F가장 간단한 F/F인 R-S F/F을 구성하였다. ... 반도체 memory의 기본적인 동작 원리를 알아보고 MSI(TTL) 64-bit 기억 소자의 동작을 실험을 통해 확인하는 실험이다. ... 우리가 실험한 RAM은 MSI(TTL) 64-bit 의 재원을 가지고 있는 RAM이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.05.13
  • post5 펄스 부호 변조(PCM)
    CODEC( Encoder+ Decoder)Encoder: AX(아날로그 메시지 신호)를 DX(PCM신호)로 부호화Decoder: DR(수신된 PCM신호)를 AR(아날로그 메시지 신호 ... 펄스 부호 변조(PCM)과목명 : 정보통신실험2제출일 : 4월 13일학 과 : 전자정보통신학과실험조 : 화요일 1조학 번 : 0604020이 름 : 김연실조 원 : 김유미1. ... 코드는 메시지 신호의 새 진폭 값을 ENCOD ING한 것이기 때문이다.3. 결과 요약1.
    리포트 | 7페이지 | 1,000원 | 등록일 2012.01.26
  • 논리결과-8-Counter
    실험목적- 카운터의 동작원리와 특성을 이해한다.- 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해한다.- 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 ... 실험 8. Counter1. ... 실험결과실험 1. 2단 2진 Counter - 비동식기 Counter실험 방법 : J-K Flip Flop을 통한 2단의 2진 값을 AND 게이트를 이용하여 확인한다.InputOutputBA0
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23
  • Final Report2
    모터에 달린신호를 한 clock delay 시켜 얻은 C,D 신호와 함께 모터의 방향을 결정4-to-16 Decoder(74HC154)Encoder로부터 나온 A,B 신호를 한 clock ... 이번 실험에서는 CW or CCW clock의 개수를 확인하고 PID 계수와 원하는 층수를 입력 받기 위해 사용되었다.3)PID controller: 비례이득(proportional ... gain) : 적분이득(integral gain) : 미분이득(derivative gain)본 실험에서는 Sampling Time 5ms(T)마다 값들을 읽어 계산을 수행하므로 Discrete
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.30
  • Encoder, Decoder, MUX(Multiplex)의 작동원리 및 특징 실험 레포트
    Encoder에서의 입력은 Decoder에서의 출력과 동일하며 Encoder에서의 출력은 Decoder에서의 입력과 동일하였다. ... 지금부터 예상 시뮬레이션과 비교해보면서 실험을 분석하도록 하자.Decoder실험하면서 신기했던 것은 Encoder와 너무나 정반대의 동작을 한다는 것이다. ... 설계하고 실험을 통해 검증한다.4) Gate를 이용하여 8 by 3 Decoder를 설계하고 실험을 통해 검증한다.5) 74LS153(MUX) 를 이용하여 전가산기를 설계하고 동작을
    리포트 | 25페이지 | 3,000원 | 등록일 2010.06.09
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 30일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감