• 통큰쿠폰이벤트-통합
  • 통합검색(63,965)
  • 리포트(60,229)
  • 시험자료(1,988)
  • 자기소개서(415)
  • ppt테마(388)
  • 방송통신대(355)
  • 논문(219)
  • 서식(173)
  • 표지/속지(173)
  • 이력서(19)
  • 노하우(6)

"T-R율" 검색결과 1,641-1,660 / 63,965건

  • 정신장애인이 정신병원에서 퇴원하여 지역사회에 거주하는 것에 대한 의견을 토론
    P., & Hadley, T. R. (2002). ... R., Drake, R. E., & Becker, D. R. (2008). ... Davidson, L., O'Connell, M., Tondora, J., Styron, T., & Kangas, K. (2006).
    리포트 | 2페이지 | 2,000원 | 등록일 2024.06.26
  • 우주비행역학 케플러 공식 활용 과제
    Epoch Julian Date, Coordinate Time% EC Eccentricity, e% QRPeriapsis distance, q (AU)% IN Inclination w.r.t ... ----------\n')2) accel_9body_3D.m%~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~function dydt = accel_9body_3D(t, ... ~~~~~~~~~~~~~~~~%This function evaluates the acceleration of each member of a 9-body%system at time t
    리포트 | 11페이지 | 1,000원 | 등록일 2021.05.17
  • 울산대 커패시터, RC 회로 시정수 및 측정기 내부저항 예비레포트
    1로 되는 t 값을 그리스 문자tau 로 표시하며 시정수라고 하고t= tau =RC이다. ... =0이고, 이는C {dv} over {dt} + {v} over {R} =0이 되고 정리하면{dv} over {dt} + {v} over {RC} = {dv} over {v} + ... dt)이므로 이를 적분하면w(0)= {1} over {2} CV _{0}^{2}과 같이 표현되는 에너지를 얻을 수 있고, 회로의 위쪽 마디에 KCL을 적용하면i _{C} +i _{R}
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.21
  • 아주대 기초전기실험 예비보고서 DC 15, 16
    이때 이 식을 시간t에 대하여 미분하면 충전 전류에 대한 다음과 같은 식i _{c} = {E} over {R} e ^{-t/RC} 구할 수 있고,{q} over {C} =v _{c} ... ) ^{-t/RC} )에 의해 점점 증가할 것이고, 시간이 지날수록 회로에 걸어준 전압 12V에 근접할 것이다.- 그림 3의 회로는 단자 a-b에 측정되는 등가저항R _{Th}를 식R ... 10초마다 축전기에 걸리는 전압V _{C}를 계산하고, 식V _{R} =E-V _{C}를 이용하여 저항에 걸리는 전압을 계산하면 아래의 표와 같은 결과가 나올 것이다.t(s)***
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.16
  • [연세대학교] 공학물리학및실험(2), 일반물리학및실험(2) 직류회로 및 교류회로 실험 A+ 결과레포트
    키르히호프 전압 법칙을 확인하기 위하여 임의의 시간 7개를 선택하여 값들을 측정하여 다음의 표로 나타내었다.t (s)varepsilon_0 =V_0 (V)v_C =q/C (V)v_R ... (R=100 ohm )을 통하여 그래프로 나타내었다.또한 키르히호프 전압 법칙을 확인하기 위하여 임의의 시간 5개를 선택하여 값들을 측정하여 다음의 표로 나타내었다.t (s)varepsilon ... 따라서i=I cos ( omega t)와v=V cos (omegat+ varphi )에서 위상각varphi가 0임을 확인할 수 있다.Experiment 4.
    리포트 | 21페이지 | 1,500원 | 등록일 2020.09.08 | 수정일 2020.10.16
  • A+ 보장 식도암 Case Study (간호진단 5개, 간호과정 3개)
    성격[Q] : 조이는 듯함 / 영향요인[R] : 어떠한 자세를 취해도 통증이 심함./ 강도(S) : NRS점수 7점 / 지속시간[T] : 1시간 이상- 진통제 복용 후 2시간 뒤 ... 3점 / 지속시간[T] : 간헐적- 진통제 복용 후 3시간 뒤 ( NRS 3점 ): 위치[P] : 흉부 / 성격[Q] : 찌르는 듯함 / 영향요인[R] : 누워있으면 증상이 완화되며 ... 대상자에게 NRS점수와 함께 통증의 특성을 파악하였다.- 5/25 17:00 ( NRS 7점 ): 위치[P] : 흉부 및 목 주위 / 성격[Q] : 조이는 듯함 / 영향요인[R] :
    리포트 | 21페이지 | 2,500원 | 등록일 2024.02.21
  • 전자회로실험 with pspice 6~10장 레포트(NPN, PNP, MOSFET)
    _{pi } `=` {V _{T}} over {I _{BQ}} [k ohm ]-0.26[k ohm ]g _{m} `=` {I _{CQ}} over {V _{T} `} [mA/V]-320 ... _{pi } `=` {V _{T}} over {I _{BQ}} [k ohm ]0.509[k ohm ]g _{m} `=` {I _{CQ}} over {V _{T} `} [mA/V]203.8 ... _{pi } `=` {V _{T}} over {I _{BQ}} [k ohm ]0.325[k ohm ]g _{m} `=` {I _{CQ}} over {V _{T} `} [mA/V]315.3
    리포트 | 13페이지 | 2,000원 | 등록일 2022.08.04 | 수정일 2022.09.23
  • [기초공학실험]외팔보의 진동측정
    _{1}T _{2}T _{3}T _{4}T _{5}0.0930.0930.0930.0930,0933) 감쇠율 측정x _{1}x _{2}x _{3}x _{4}x _{5}진폭0.3760.3520.3280.3120.296δ0.0660.0710.0500.0530.056delta ... _{1} ` TIMES `R _{3} `=`R _{2} ` TIMES `R _{4}가 되고, 이를 통해R _{1}의 값을 찾을 수 있다.감소하는 비율delta `=`ln {x _{n ... 결과 분석스트레인 게이지 부착 측정판1) 게이지율 측정R _{0}= 120.53 ΩR(Ω)120.23120.33120.43120.63120.73120.83△R(R _{delta }
    리포트 | 7페이지 | 2,500원 | 등록일 2021.10.20
  • 아주대학교 전자회로실험 설계1 C 측정 결과보고서
    =(V _{p} -V _{D,`on} )exp {-t} over {R _{L} C _{1}} `````````0 LEQ t LEQ t _{3}의 식을 이용하여 캐패시터의 값을 계산해 ... (V _{o} =- {1} over {R _{1} C} int _{0} ^{t} {V _{i} `dt}식을 변형하면C=- {1} over {R _{1} V _{o}} int _{0} ... (V _{o} =- {1} over {R _{1} C} int _{0} ^{t} {V _{i} `dt}식을 변형하면C=- {1} over {R _{1} V _{o}} int _{0}
    리포트 | 8페이지 | 1,500원 | 등록일 2020.06.06
  • 고급일본어활용4공통) 일본어는 지역에 따라 단어나 문말표현 악센트가 공통어인 도쿄어와는 다른 방언 자료를 찾아 조사하여 정리하시오0k
    편의상 [o].2) 닿소리일본어 음소는 /m/, /n/, /p/, /b/, /t/, /d/, /k/, /g/, /s/, /z/, /h/, /r/, /y/, /w/, /N/, /Q/가 ... /t/ - 무성 파열음 [t]. 변이음으로 치경구개 파찰음 [?]가 있다. 또 모음 [?] 앞에서는 파찰음 [?]가 된다. /d/ - 유성 파열음 [d]. ... /r/ - 탄음 [?]. 변이음으로 경구개화된 [??]이 있다. /y/ - 반모음 [j]. /ye/는 모음 /e/가 되고 [je]는 외래어에만 쓰인다. /w/ - 반모음 [?].
    방송통신대 | 7페이지 | 7,000원 | 등록일 2021.03.23
  • 울산대 재료시험, 재료실험
    R E P O R T재 료 시 험학 과기계자동차공학과학 번이 름제출일2021.04.191. ... [표 2.2] Rockwell 압입체와 압입하중의 선택t= {P} over {pi DH _{B}} 이고초기하중 10kgf 작용 후 시험하중 100kgf를 작용할 때TRIANGLE t ... } =`24.54강 :H _{R} B```=`130- {9,023} over {H _{B}} =130- {9023} over {204.47} =85.87실제 실험 환산식H _{R}
    리포트 | 29페이지 | 2,500원 | 등록일 2022.03.28
  • 성인실습 뇌하수체 종양 case study
    물수건을 올려놓으면 괜찮아짐누워있으면 더 아픈 것 같음SNRS 점수 5점T그냥 계속 아픔12/612/6P코 안쪽부분Q쑤심R모르겠음SNRS 점수 3점T아팠다 안아팠다 함(10초정도 ... 지속)P머리 앞쪽Q눈이 빠질 것 같음R머리에 물수건을 올려놓으면 괜찮아짐누워있으면 더 아픈 것 같음SNRS 점수 5점T그냥 계속 아픔12/712/8P머리 앞쪽Q찌릿찌릿R머리에 물수건을 ... 성인간호학실습Ⅱ case study두개인두종(TSA&T.R)2022년 12월 5일~2022년 12월 12일제출일전공과목학번담당교수이름목차Ⅰ. 간호사정1. 문헌고찰2.
    리포트 | 28페이지 | 2,500원 | 등록일 2024.03.04
  • 홍익대 실험 프로젝트 <Two Stage Amplifer 회로설계> 입니다. mosfet를 사용한 회로이며, pspice에서 2N7000/FAI 소자를 사용했습니다.
    보면 1st stage에서 6mV와 2st stage에서 6mV를 각각 얻어 성공적으로 gain (6x6=36) 36를 얻을 것을 확인할 수 있다.5. ... _{D} =0.235 TIMES 29.5=6.92< 1st stage Vout >< 2st stage Vout >Vout1 = 6.0617mVVout2 = 36.883mV=> 위 결과를 ... _{2} =3M ohm M3:`Vg= {R2} over {R1+R2} Vcc=2.916VM1:`Vg=8VM1:`V _{S} =5.039VM1:`Vd=VCC-I _{D} R _{D}
    리포트 | 9페이지 | 2,500원 | 등록일 2022.06.26 | 수정일 2023.03.14
  • [화공실험]고체의 열전도도 실험보고서
    c = a,b 양쪽의 접촉저항 R a ,R b = a,b 각각의 저항 Contact resistance (1-9) 식에 (1-11),(1-12),(1-13) 대입 저항 실험이론소개 ... Fourier 법칙 정상상태 1 차원 전도 열전달 k= 열전도도 [J/ mhK ] A: 일정 , 정상상태 a= 온도계수 열전도도 실험이론소개 (1-2) 를 (1-1) 에 대입 후 적분 R: ... 실험이론소개 = 열전도도의 평균값 사진출처 : 조경용 식생매트의 열전도율 측정에 관한 연구 , 차욱진 외 1 명 , 한국조경학회지 (1-7)-(1-8) 접촉저항 소거 실험이론소개 R
    리포트 | 18페이지 | 1,000원 | 등록일 2019.12.14
  • Jeffrey M. Wooldridge(울드리지) 계량경제학 기말노트정리
    : (2) has higher R_2, so (2) is better. 2. individual significance: both t-statistics are significant ... R2는 분산과만 관련 있다. ... 고려해야 함.T = X가 Y 미치는 영향: causal effect of Xj on Y95% confidence interval: [ – 1.96se(), + 1.96se()]In
    시험자료 | 7페이지 | 1,500원 | 등록일 2022.06.24
  • 커패시터의 리액턴스 결과레포트
    +C _{n} 와 같이 전체 커패시터의 크기가 커지게 됨I _{T} =I _{R _{1}} = {V _{R}} over {R _{1}} = 0.653 mA 이 되고, 전체 용량성 리액턴스는X ... =I _{R _{1}} = {V _{R}} over {R _{1}} = 0.534 mA로 전체전류를 구할 수 있음커패시터에 걸리는 전압 Vc를 멀티미터로 측정하여X _{C} = { ... =I _{R _{1}} = {V _{R}} over {R _{1}} = 0.179 mA로 전체전류를 구함커패시터에 걸리는 전압 Vc를 멀티미터로 측정하여X _{CT} = {V _{
    리포트 | 2페이지 | 1,000원 | 등록일 2020.04.21 | 수정일 2020.04.24
  • 포사체의 운동 공학물리 실험예비보고서
    같다.--------(6)그러므로 실 수평도달거리, R은R = R'- r = R'- (v0 cosθ) Δt ------------(7)이 된다 [1] 포사체 운동 . [2] 실제 ... 낙하지점까지 전체 걸린 시간을 tT (y=0 일때 t값), 실제 수평도달거리, R 까지 걸린시간을 th (y=y0 일때 t값)라 하면 Δt = tT - th 이 되므로 계산해보면 다음과 ... )식 (1), (2)에서 t 를 소거하면-------------(3)수평도달거리, R은 y=0 일때 x 값 이므로-------(4)우리는 여기서 발사체의 초기높이는 종단높이와 같다고
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.10
  • [성인간호학] 급성심근경색 케이스 및 간호 중재 문헌고찰 포함
    나타남- Lead Ⅱ R-R간격: 심박 수 60~65회/min- 무산소증으로 인한 심실의 재분극이 지연되기 때문에 T파는 비정상적으로 높고, 뾰족하거나 역전될 수 있다.- 심내막 ... 일부가 침범되면 T파가 위로, 외박 하부가 침범되면 T파는 역전정상초급성기급성기아급성기발작 전T파의 상승ST분절의 상승이상Q파 출현2. ... 허혈의 증상, 심전도에서 ST 분절의 변화나 새로 발생한 좌각 차단, T파의 변화, 병적인 Q파가 관찰될 때?
    리포트 | 4페이지 | 3,500원 | 등록일 2022.06.24
  • 연세대학교 공학/ 일반 물리학 및 실험 (1) 8주차 실험 - 물리 진자, 비틀림 진자 결과레포트
    2 pi sqrt {{R ^{2} +2d ^{2}} over {2gd}}R=0.1(m)(1) 90mm (0.09m)Maximum Point주기(s)1.650.782.430.783.210.783.990.774.760.785.540.786.32평균주기 ... -도르래가 감길 때 제대로 감기지 않음-힘센서와 회전운동센서가 수평 상태에 있지 않음*-힘을 가했을 때 약한 철사는 약간 {2} MR ^{2}와 거의 유사하므로M=0.11570,`R= ... 2 pi sqrt {{R ^{2} +2d ^{2}} over {2gd}}이 성립함을 확인할 수 있었다.이론에서, d=0.07m 인 위치에서 주기가최소가 된다고 하였는데 실험 결과 역시0.07m
    리포트 | 19페이지 | 1,000원 | 등록일 2024.01.29
  • 수원대학교 1학년 전공기초교양 [수학2 A+] 중간 기말 시험,해설 정리본
    , y=4 ,z=6+t에 평행한 직선】【(10) 점(1, -1, 2)와 직선 x=t, y=t+1, z=-3+2t를 포함하는 평면의 방정식을 구하시오.】C) 2학기 기말고사(다변수함수 ... ysin(xy)dydx, R=[1,2]×[0, π] 2) R이 r=2-cos의 내부, ∬_R y dA ... +j-4k b=-2i+2j+k【(7) 다음 u와 v가 만드는 평행사변형의 넓이를 구하여라.】u=(3,-1,4), v=(6,-2,8)【(9) (1,4,1)을 지나고 직선 x=2-3t
    시험자료 | 38페이지 | 3,500원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감