• 통큰쿠폰이벤트-통합
  • 통합검색(374)
  • 리포트(368)
  • 시험자료(5)
  • 방송통신대(1)

"half adder" 검색결과 141-160 / 374건

  • 실험 2. CMOS 회로의 전기적 특성 예비보고서
    전감산기의 논리식은 다음과 같다.실험 장비① 반가산기(Half Adder) : XOR(IC 7486), AND(IC 7408)② 전가산기(Full Adder) : 두 개의 반가산기와 ... OR(IC 7432)③ 반감산기(Half Subtracter) : XOR(IC 7486), AND(IC 7408), NOT(7404) gate④ 전감산기(Full Subtracter ... 실험목적Logic gate 를 이용해서 가산기(adder) 와 감산기 (substractor)를 구성한다.디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조및 동작원리를 이해한다
    리포트 | 11페이지 | 1,000원 | 등록일 2017.12.07
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    full_adder(C,S,X,Y,Z);output C, S;input X, Y, Z;wire C0, C1;wire S0;// First half adder instancehalf_adder ... h0(C0, S0, X, Y);// Second half adder instancehalf_adder h1(C1, S, S0, Z);// Carryor o0(C, C1, C0);endmo ... ****************/module half_adder(C,S,X,Y);output C, S;input X, Y;xor x0(S, X, Y);and a0(C, X, Y);endmodulemodule
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • [A+ 예비보고서] 아주대 논리회로실험 실험3 '가산기& 감산기'
    또한 두 개의 반가산기(half adder: HA)를 이용하여 하나의 전가산기(full adder: FA)를 구성할 수 있다. ... 실험이론●가산기(adder)-이번에 실험할 가산기에는 전가산기(full adder: FA)와 반가산기(half adder: HA)가 있는데, 간단하게 설명하자면 세 비트의 덧셈을 수행하는 ... 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder: HA)라 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 디지털시스템실험 12주차 결과리포트
    ****************/module half_adder(C,S,X,Y);output C, S;input X, Y;xor x0(S, X, Y);and a0(C, X, Y);endmodulemodule ... full_adder(C,S,X,Y,Z);output C, S;input X, Y, Z;wire C0, C1;wire S0;1:0]LAd,RAd;wire [3:0] Reg0,Reg1 ... Adata, Bdata;input S1,S0,Cin;output [3:0] Gout;output Cout;wire [3:0]Y;InputLogic in(Bdata,S1,S0,Y);adder
    리포트 | 10페이지 | 2,000원 | 등록일 2018.01.03
  • 전자공학 실험 덧셈회로 adder 결과 보고서
    덧셈회로1. half adderABCS0*************10위 표에서 반 가산기의 합과 자리올림에 대한 논리식이다합= A+B 이므로 2진수 덧셈 규칙과 불 대수식에 의해 S= ... 자리에서 올라온 자리올림을 함께 덧셈하여 두 자리의 합을 계산하고, 자리올림은 다음 자리에서 함께 계산되도록 하여야 한다 이렇게 2 자리 수를 자리올림과 함께 더하는 것을 Full adder라고
    리포트 | 4페이지 | 2,000원 | 등록일 2018.06.07
  • 전자전기컴퓨터설계실험2(전전설2) 1주차예비
    반가산기(Half Adder)A=0 B=0S=0 C=0A=0 B=1S=1 C=0A=1 B=0S=1 C=0A=1 B=1S=0 C=14. ... 반가산기(Half Adder)가산기라는 단어가 의미하듯이 말 그대로 덧셈에 관한 회로라고 생각하면 쉽다. 반가산기의 경우 입력이 2개, 출력이 2개이다. ... 반가산기(Half Adder)교안 회로PSpice마찬가지로 주어진 회로를 브레드 보드에 구현한다. 스위치를 이용하여 pspice의 결과값을 비교해본다.회로PSpice라.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.03.22
  • 전자전기컴퓨터설계실험2(전전설2)1주차결과
    반가산기(Half Adder)가산기라는 단어가 의미하듯이 말 그대로 덧셈에 관한 회로라고 생각하면 쉽다. 반가산기의 경우 입력이 2개, 출력이 2개이다. ... 반가산기(Half Adder)회로 구현A=0 B=0S=0C=0회로 구현A=0 B=1S=1C=0회로 구현A=1 B=0S=1C=0회로 구현A=1 B=1S=0C=14. ... 반가산기(Half Adder)교안 회로PSpice마찬가지로 주어진 회로를 브레드 보드에 구현한다. 스위치를 이용하여 pspice의 결과값을 비교해본다.회로PSpice라.
    리포트 | 14페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전기전자기초실험 Basic Logic Circuit Design 결과레포트 (영어)
    using inverter, AND gate and OR gate, we could make half adder that is represented in circuit diagram ... FULL ADDERFull Adder8. ... HALF ADDERXYCarrySum0*************10X=0 Y=0 C=0 S=0 X=0 Y=1 C=0 S=1X=1 Y=0 C=0 S=1 X=1 Y=1 C=1 S=0By
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.01
  • [대충] 예비 VHDL을 이용한 기본 논리 게이트 및 가산기의 구현
    of half_adder isbeginS ... 반가산기 실습①동작적 모델링 기법library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity half_adder ... isport(A:in std_logic;B:in std_logic;S:out std_logic;C:out std_logic);end half_adder;architecture action
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • 디지털시스템실험 4주차 결과리포트
    개로 구성되어있으므로,halfadder 모듈을 두 번 불러와 연산을 진행한다.assign c = w2 | w3;endmodulemodule halfadder(x,y,c,s); // half ... 되고, S0가 1일 때는 감산이 되므로,입력에 넣은 값의 보수가 adder에 입력이 된다.assign C[0]=S0;FullAdder U1(A[0],w[0],C[0],C[1],S[ ... C4; // 자리올림수 출력을 output으로 선언한다.output [3:0]S; // 4bit의 결과값을 output으로 선언한다.wire [3:0]C; // 각각의 full adder
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • 디지털실험 - 실험 3. 2비트 전가산기 예비
    Adder)2진 덧셈을 살펴보면 2-입력(A,B)의 논리회로는 exclusive-OR 게이트와 같은 출력을 나타내고 있다. ... 과000011101111 0 (Carry=1)※ Carry = 올림수이 법칙에서 2개의 2진 digit 가산은 합 digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.2) 반가산기 (Half ... 반가산기라 하며 논리식은 다음과 같다.S= bar A Cdot B + A Cdot bar B = A BIGOPLUS BC=ABABSC*************1013) 전가산기 (Full Adder
    리포트 | 9페이지 | 1,500원 | 등록일 2017.04.02
  • 반가산기와전가산기 - 예비
    있다.AB덧셈결과000011101111 (Carry=1)이 법칙에서 2개의 2진 digit 가산은 합 digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기(Half ... Adder)- 반가산기는 2개의 2진수 A, B 논리 변수를 더하여 합과 캐리를 산출하기 위한 조합 논리회로이며 반가산기의 논리식과 진리표는 다음과 같다.S = A B + A B ... = A BABS(sum)C(carry)*************101C = A B(3) 전가산기(Full Adder)- A, B 두 입력 외에 앞단으로부터 1개의 자리올림수도 동시에
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 전전컴설계실험2-4주차예비
    -Lab 2Half_Adder1. ... Half-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3. ... 이때 전에 만든 Half_Adder Simbol을 저장했던 것을 불러와 사용한다.2. Full-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [컴퓨터공학기초설계및실험2 보고서] Ripple-Carry Adder (RCA) design
    (Full Adder, Half Adder)의 구성과 동작 특성를 알고, 가산기의 연산장치를 이해한다. ... ci’(a’b+ab’) = ci XOR a’b+ab’ = ci XOR a XOR bci ab000111100001010111Carry out co = ab + bci + aci나는 half ... b0001110fI/O Description구분이름bit설명InputiHex4 bitInput dataOutput regoSEG77 bitoutput설계 검증 및 실험 결과시뮬레이션 결과* Half
    리포트 | 20페이지 | 2,000원 | 등록일 2015.04.12 | 수정일 2015.04.24
  • 통신회로 및 실습 - Full Adder 설계
    *전가산기 진리표*실습결과 및 고찰이번 실습은 half_adder두 개로 전가산기를 구현하는 실습이었다. ... Half Adder 구현-Set as Top Module -> Check Design Rules -> Create Schematic Symbol4. ... 통신회로 및 실습[과제 2] Full Adder 설계정보통신공학과2010160101 윤희진2013.04.021.
    리포트 | 4페이지 | 3,000원 | 등록일 2014.07.11
  • 디지털 시스템 실험 Add, Subtractor, Multiplier, Divider 설계 결과보고서
    이전에 만들어두었던 Half Adder를 불러온다. ... 이 때, 4bit Adder를 설계하므로 입력과 출력 모두를 4bit의 배열로 설정한다.여기서, 4bit Adder의 실제 설계도를 보면 다음과 같다.이를 통해, 각각의 FA를 C의 ... 도선으로 연결시키면 Multi-bit Adder로 확장시킬 수 있다는 것을 알 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2016.04.08
  • verilog coding을 이용한 Adder&Subtractor
    *********************************************************Half Adder********************************** ... /Subtractor실험목표1. 4Bit Adder를 설계한다.2. 8Bit Adder/Subtractor를 설계한다.실험준비물Altera Quartus II 4.0 software ... , HBE-COMBO 보드배경지식1. 4Bit Adder2. 8Bit Adder/Subtractora. 8Bit Adderb. 8Bit SubtractorHaving an n-bit
    리포트 | 2페이지 | 1,000원 | 등록일 2009.05.07
  • 연산회로 예비보고서
    이론2.1 반가산기반가산기(half adder)는 이진법으로 표시된 두 개의 수를 더하는 가산기이다. ... 직렬 가산기는 회로가 작다는 장점이 있지만, 직렬로 연속동작을 시키려면 시간이 많이 걸린다는 단점을 동시에 갖고 있다.2.5 반감산기와 전감산기반감산기(half substracter ... 따라서 반가산기의 회로는 그림 1⒜와 같게 된다.2.2 전가산기전가산기(full adder)는A`,``B 두 개의 수와 전단의 자리올림C_i(carry in)을 더해주는 가산기이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.12.20
  • 덧셈회로
    실험 결과 : 1)half adder-half adder의 경우S _{n} =A _{n} OPLUS B _{n} ,````C _{n`} =A _{n} BULLET B _{n}이므로7486 ... 결과 및 timing diagram2) Full adder-full adder는 여러 가지로 꾸며 볼 수 있으나 2개의 half adder로 생각하면 쉽다. ... XOR gate와 7400 NAND gate를 이용하여 그림1과 같이 꾸밀 수 있다.입력 A, B를 바꾸어 가며 결과를 살펴본다.ABCS0*************10half adder
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.27
  • 아주대 논회실 논리회로실험 실험3 예비보고서
    실험 이론1.가산기(adder)이번에 실험할 가산기에는 전가산기(full adder: FA)와 반가산기(half adder: HA)가 있는데, 간단하게 설명하자면 세 비트의 덧셈을 ... 또한 두 개의 반가산기(half adder: HA)를 이용하여 하나의 전가산기(full adder: FA)를 구성할 수 있다.2.전가산기(full adder: FA)전가산기는 세 개의 ... 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder: HA)라 한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대