• 통큰쿠폰이벤트-통합
  • 통합검색(5,129)
  • 리포트(4,781)
  • 시험자료(163)
  • 자기소개서(61)
  • 방송통신대(43)
  • ppt테마(36)
  • 논문(26)
  • 서식(17)
  • 이력서(2)

바로가기

TD 독후감 - TD 관련 독후감 12건 제공

"TD" 검색결과 141-160 / 5,129건

  • 성인간호학실습, casestudy, 소화기 내과
    내원 당일 2/23일 02시부터 발생한 abd. pain을 주호소로 본원 er에 내원하였으며 C/S/R(+/+/+), Abd Td/ r Td(+, epigagstric dominant
    리포트 | 15페이지 | 1,000원 | 등록일 2022.01.16 | 수정일 2022.03.31
  • 정신 건강 인식 보고서 뚜렛과 관련하여
    이를 근거로 TD 아동 청소년에 대한 스티그마를 없애기 위해 보호자, TD 아동 청소년, 교사 및 학교 친구들을 대상으로 적극적인 교육과 개입을 할 수 있을 것이다. ... 나타난 것처럼 실제로는 TD 아동 청소년에서 다양한 영역과 환경에서의 기능 장애가 없었다. ... 과제 선정 이유[뚜렛 장애 아동 청소년의 기능장애 및 실행기능 결함 논문 中]결론적으로 본 연구를 통하여 공존질환이 없는 TD 집단의 경우 정상 대조군과 학업적, 사회적 기능과 실행기능이
    리포트 | 11페이지 | 2,500원 | 등록일 2021.12.03
  • 논문 요약(학령기 고기능 자폐스펙트럼장애 아동의 비유창성 특성 연구)
    연구결과 집단 내 과제의 비유창성 빈도 차이 세 가지 발화과제별 TD 빈도 차이를 살펴보기 위해 Friedman test 실시 결과 : HF-ASD 집단과 CWS 집단은 TD 빈도에 ... 연구결과 집단 간 과제의 비유창성 빈도 차이 Kruskal-Wallis H test: 세 집단 간 TD 빈도에 유의한 차이 O, 읽기와 이야기 다시말하기 유의한 차이 X, OD 빈도 ... 차이 O CWS 집단은 읽기와 이야기 다시말하기 읽기와 그림 설명하기 간에 차이는 있으나 통계적으로 유의 X 이는 HF-ASD 아동들이 읽기과제보다 이야기 다시말하기 과제에서 TD
    리포트 | 15페이지 | 2,000원 | 등록일 2024.02.21
  • 02. 전자회로실험 예비보고서-교류전압의 측정,전압과 전류의 측정
    =0, TR=0.0125s, TF=0.0125s,PW=0.01ns, PER=0.025s 로 설정함(3) 사각파VPULSE: AC=0.1v, V1=-0.1v, V2=0.1v, TD=0 ... 160π크기주기주파수계산값100mv12.5ms0.08mHz측정값100mv12.5ms0.08mHz오차0mv0ms0mHzVPULSE: AC=0.1v, V1=-0.1v, V2=0.1v, TD ... 40π크기주기주파수계산값100mv50ms0.02mHz측정값100mv50.2ms0.0199mHz오차0mv0.02ms-0.0001mHzVPULSE: AC=0.1v, V1=-0.1v, V2=0.1v, TD
    리포트 | 5페이지 | 1,000원 | 등록일 2020.12.16
  • 2020학년도 2학기 C++ 프로그래밍 출석대체과제물 소스코드
    .// Polygon.h#ifndef POLYGON_H_INCLUDED#define POLYGON_H_INCLUDED#include using namespace std;struct
    방송통신대 | 3페이지 | 3,900원 | 등록일 2020.11.20 | 수정일 2020.11.24
  • 산업위생관리기사 실기 암기형 5과목 산업독성학 정리
    , TD??을 기준으로 설명해라(단, TD는 동물실험에서 동물이 사망하지 않으나 조직등에 손상 입는 정도의 양이다)TD₁? ... 에서는 B물질용량이 A물질보다 더 낮아 B물질 독성이 더 높다TD??
    시험자료 | 3페이지 | 2,000원 | 등록일 2023.10.10
  • FPGA [ 연산자 & 순차처리문 & 병행처리문]
    실습 [a + b = y_out]adder2016265060의 코드는 덧셈 연산자를 이용하여 만든 코드이다. 4bit unsinged adding을 위해서 use ieee.std_logic_unsigned.all을 ... 확인하였다.실습과제 [a - b = y_out]min2016265060의 코드는 뺄셈 연산자를 이용하여 만든 코드이다. 4bit unsinged adding을 위해서 use ieee.std_logic_unsigned.all을
    리포트 | 12페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 소아예방접종 관련 내용
    Td 백신 0.5㎖를 근육 주사하되, 만약 Td를 구하지 못하면 DT로 접종하며 이 경우 0.1㎖를 접종함? ... DTaP 또는 Td를 매회 0.5㎖l 근육주사? 백일해 성분에 특별한 금기사항이 없는 한 DTaP 접종을 원칙으로 함? ... 디프테리아/파상풍/백일해(DTaP, Td, Tdap)? 폴리오(IPV)? 디프테리아/파상풍/백일해/폴리오(DTaP-IPV)? 폐렴구균(PCV,PPSV)?
    리포트 | 8페이지 | 1,500원 | 등록일 2022.03.17
  • 건국대학교 객체지향프로그래밍_4주차_랩실습보고서
    스마트 포인터를 이용하여 동적할당 하기소스코드#include #include #include using namespace std;auto loadMap(int& row, int& col
    리포트 | 7페이지 | 1,000원 | 등록일 2023.02.21
  • 향정신병 약물 정리
    둘 사이의 균형 맞춤 양성, 음성증상 모두 효과적, EPSs나 TD 거의 X. But, 2세대보다 효과↓ *부작용 거의 없음 4. ... 약물 용량 줄이거나 항콜린성 제제 (트리헥시페니딜, 비페리딘, 벤즈트로핀), 항히스타민제제 (디펜하이드라민), 도파민 길항제 (아만타딘), 항파킨슨제제 사용 ③ 지발성 운동이상증 (TD ... 지프라시돈 / 파록세틴 * 양성, 음성증상(사회성 결여, 둔마 정서, 동기 부족)에도 효과적 도파민 수용체 길항작용은 1세대보다 낮음, 세로토닌에 대한 길항작용 강함, EPSs나 TD
    리포트 | 1페이지 | 1,000원 | 등록일 2021.03.31
  • HTML input 태그에서 사용 가능한 모든 입력 타입의 종류를 나열하고 간단히 설명하시오.
    완성하시오.(20점)문제2.table {(A) 셀의 크기가 셀 안의 내용의 크기에 관계 없도록 설정한다.테이블의 폭은 200px로 지정한다.기타 조건은 출력 결과에 맞춰 설정한다.}td
    방송통신대 | 9페이지 | 8,000원 | 등록일 2020.07.07 | 수정일 2020.08.09
  • Stress Strength Analysis에서 겹친 부분에 대한 이해 (응력 강도의 신뢰성 분석) - 파이썬 소스 코드 포함
    ):return (1 / (std_dev * np.sqrt(2 * np.pi))) * np.exp(-(x - mean)**2 / (2 * std_dev**2))# 두 분포의 곱을 계산하는 ... scipy.integrate import quadimport matplotlib.pyplot as plt# 정규분포의 확률밀도함수def normal_distribution(x, mean, std_dev
    리포트 | 5페이지 | 2,500원 | 등록일 2023.07.25
  • 폴리스타이렌 유화중합 결과레포트
    Td를 관찰했을 때, [그래프3]의 Td는 380℃ 부근이고 [그래프4]는 Td가 360℃ 부근임을 위의 그래프들을 통하여 알 수 있다. ... 위의 그래프들의 Td(분해온도)는 각 시료의 무게가 90%가 되는 지점의 온도라고 가정한다.Reference 그래프와 비교하여 [그래프3]와 [그래프4]의 개형을 보면, 굴곡이 생기는
    리포트 | 5페이지 | 1,500원 | 등록일 2020.11.24
  • [건국대학교 컴퓨터프로그래밍2 A+][2024 Ver] 과제5
    ::cout과 std::endl을 이용하여 c값, 5.5, -, n값, ,”hello”문자열, 1(true), “n + 5 = “문자열, n값에 5를 더한 값, ‘\n’, “면적은” ... r을 매개변수로 가지고 3.14 * r * r값을 double형식으로 리턴한다.int형 변수 n을 선언하고 3으로 초기화한다. char형 변수 c를 선언하고 #으로 초기화한다.std ... 저장된값, mydata변수의 n에 저장된값, mydata변수의 c에 저장된값, mydata변수의 arr[1]에 저장된값을 차례로 출력한다.4번 (예제 2 - 1)①코드② 결과③ 설명std
    리포트 | 12페이지 | 2,000원 | 등록일 2024.08.14
  • [유아교육과] 2020년 1학기 유아건강교육 기말시험 과제물
    감염성 질병에 걸리거나 전파시키는 것을 줄이는 감염 예방 및 관리 절차는 다음과 같다.(1) 어린이 및 직원들의 예방접종 상태를 주기적(최소 연 1회)으로 검토하고 Tdap또는 Td
    방송통신대 | 7페이지 | 9,100원 | 등록일 2020.05.28
  • 장폐색 케이스 스터디(간호진단 및 과정 3개)
    시작일(23.08.27)식욕: 나쁨r/o pseudo-obstruction(가성 장폐색 잠정 진단)으로 2023.08.27 본원에서 T-colostomy(횡행 결장루) 시행 이후 TD
    리포트 | 14페이지 | 2,000원 | 등록일 2023.12.21 | 수정일 2024.04.17
  • 최스미 외, 알기쉬운 핵심약리학, 수문사, 중간고사 요약본
    (반수독성량, 독성용량): 약물을 투여한 집단의 50%의 개체에서 독성작용을 일으킬 수 있는 용량 (4) TI(치료지수) - 안전역 - TD50 or LD50을 ED50으로 나눈 ... 치사용량): 약물을 투여한 집단의 50%의 개체를 죽일 수 있는 용량 (2) ED50(반수유효량, 효과용량: 약물을 투여한 집단의 50%의 개체에서 효과가 발현되는 용량 (3) TD50
    시험자료 | 27페이지 | 3,600원 | 등록일 2022.07.17 | 수정일 2022.07.18
  • 정수형 data 10개 이상을 입력하여 정렬하는 프로그래밍 작성하기
    메인함수#include #define ARR_SIZE 10#include "yoonji.h"using namespace std;int main(){int iNo[ARR_SIZE ... #include "yoonji.h"using namespace std;int iTemp, iIndex, iMinValue, iMinIndex;//사용할 변수들을 선언하였다.void
    리포트 | 4페이지 | 1,000원 | 등록일 2019.11.28
  • 정신분열병의 양성증상과 음성증상과 그에 따른 항정신병제 약물, 추체외로 증후군, 지연운동이상증, 등등 약리학 정리본
    지연운동이상증- 지연운동이상증(TD)은 비가역적인 불수의 운동들로 이루어지는 증후군이다. TD의 특징은 혀, 얼굴, 입, 턱 또는 때로 사지의 율동적이고 불수의적인 움직임이다. ... 비정형 항정신병약물은 TD를 덜 일으키기 때문에 이들 약물 사용이 증가되고 있다.4. ... TD는 비가역적이기 때문에 치료받는 도중에 이러한 증상들이 나타났을때는 약물치료를 중단해야 한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.01.13 | 수정일 2021.01.15
  • 정신간호학 약물
    이는 TD를 조기에 발견할 수 있으며 3~6개월마다 측정한다. ... 측정 결과, 점수가 높아진다면 의사에게 보고하여 TD를 예방할 수 있도록, 사탕, 충분한 수분섭취가 도움이 된다.4. ... 약물효과: 정형 약물과 달리 비정형 약물은 도파민과 세로토닌 수용체의 동시 차단으로 인해 음성 증상에 효과적이며 EPS와 TD의 발생이 적다.
    리포트 | 9페이지 | 2,000원 | 등록일 2023.04.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대