• 통큰쿠폰이벤트-통합
  • 통합검색(157,174)
  • 리포트(145,447)
  • 시험자료(4,713)
  • 자기소개서(2,871)
  • 방송통신대(1,852)
  • ppt테마(1,093)
  • 논문(531)
  • 서식(481)
  • 표지/속지(103)
  • 이력서(69)
  • 노하우(14)

"R4" 검색결과 141-160 / 157,174건

  • 중환자실 케이스
    10/26~10/28)-P/S : 3>2 , L/R :정상 (10/26~10/28)-Ventilator 적용 (SIMV). ... *4mm 크기의 낭상 동맥류(왼쪽 내경동맥 원위부), SAH왼쪽 측두부 IVH, 수두증 (10/26)-의식상태 : stupor (10/26~10/28)-GCS : E2 M4 VE ( ... 10 x10³개42-75%20-51%10/285.28mg/dL▲7.9x10³개82.5%▲10.4%▼?
    리포트 | 7페이지 | 2,000원 | 등록일 2020.02.19
  • 정부지원사업 연간일정 관리
    대한민국 히든 스타상품 TOP5 공개오디션(공중파 생방송)4. ... 해외 주요 교역거점에 수출인큐베이터 설치/운영임차료 80% 지원(2차년도 50% 지원)2.사무공간 및 공동회의실, 사무집기 등 지원3.마케팅, 법률, 회계 자문 및 컨설팅4.현지시장 ... 생태계 구축과 문화조성에 3년 이상 기여한 공로가 있는 개인, 단공공기관 납품실적 일정금액 이하인 중소기업기본법 제2조 해당 중소기업"기술개발 제품 공공기관 납품기회 부여 "연 4회
    서식 | 1페이지 | 1,500원 | 등록일 2024.08.08
  • 컴퓨터구조 출석과제물 만점
    ALU로 처리해야 되는 연산 및 쉬프트 연산도 없기때문에 각각 0000,000으로 구성된다.4) R4 ← rol R4 : 레지스터 R4의 Rotate left 연산을 거쳐 다시 레지스터 ... [풀이]마이크로 연산2진 제어단어ABDFHR4 ← shr(R5+R6)*************001R7 ← R7+11110001110001000Output ← R30110000000000000R4 ... R4로 저장하라는 마이크로 연산이다.
    방송통신대 | 6페이지 | 6,000원 | 등록일 2023.06.05
  • [SW사업대가] SW사업 운영단계 / SLA기반 소프트웨어 유지관리 및 운영비 정산법
    적용등급점수제재/보상백분율190이상 100이하보상 2%2%285이상 90이하보상 1%1%380이상 85이하제재 1%-1%475이상 80이하제재 3%-3%575이하제재 5%-5%당월 등급4당월 ... 적용등급점수제재/보상백분율190이상 100이하보상 2%2%285이상 90이하보상 1%1%380이상 85이하제재 1%-1%475이상 80이하제재 3%-3%575이하제재 5%-5%당월 등급4당월 ... 적용등급점수제재/보상백분율190이상 100이하보상 2%2%285이상 90이하보상 1%1%380이상 85이하제재 1%-1%475이상 80이하제재 3%-3%575이하제재 5%-5%당월 등급4당월
    서식 | 1페이지 | 무료 | 등록일 2023.02.23
  • 2023년 1학기 방통대 R컴퓨팅 출석수업 중간과
    4 4 4 4[1] 5 5 5 5 5 5 ... , grade[i]=70, grade[i]=60, grade[i] for(i in 1:5) print(rep(i, i+1))[1] 1 1[1] 2 2 2[1] 3 3 3 3[1] 4 ... R Studio 설치/활용하여 본 과제를 수행하기 전까지는 마치 “R 프로그램 = R Studio” 라고 인지하고 있었습니다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.04.04
  • 바이오통계학 중간과제물 (2023, 만점)
    풀이과정이나 R 명령문을 같이 제출하시오 (4점)(4) 이 30명이 대표하는 모집단의 평균 신장에 대한 95% 신뢰구간을 구하시오. ... R 명령문과 그래프를 제출하시오 (4점)(2) 30명 전체의 평균 신장을 구하시오. ... 풀이과정이나 R 명령문을 같이 제출하시오. (4점)(3) 30명 전체의 신장의 중앙값을 구하시오.
    방송통신대 | 7페이지 | 4,000원 | 등록일 2024.03.04
  • [업무 양식] 경영계획/업무 진행 일정/운영계획
    oo 팀 경영계획 2020 년 oo 팀 경영계획업무 진행 일정 ● 진행예정 ● 진행 중 ● 진행 완료 ● 진행 보류 ( 취소 ) 구 분 업무 내용 일 정 담 당 1 2 3 4 5 6 ... 12 ● ● ● ● oo 팀세부 운영계획 분류 내용 세부계획세부 운영계획 분류 내용 세부계획Oo 팀Oo 팀Oo 팀 1. 1. 1. - - - 기대 효과 주요 전략 실행 과제Oo 팀 r{
    서식 | 9페이지 | 1,000원 | 등록일 2020.12.07
  • 바이오통계학3공통 만7세 남자아동의 평균 몸무게 추정하기 위하여 남자아동 100명 몸무게 측정모집단 표본모수통계량 중 무엇 해당하는지 쓰시오00
    R 명령문과 출력결과를 제출하시오. (4점)(4) (3)에서 수행한 가설검정 결과를 해석하시오. (4점)Ⅰ. ... R 명령문과 히스토그램을 제출하시오. (4점)-> 다음은 R을 사용하여 주어진 데이터 파일을 읽어들이고, 범주형 변수를 factor로 변환한 후, 수축기 혈압(SBP)의 분포를 나타내는 ... R 명령문과 히스토그램을 제출하시오. (4점)(2) 이 데이터에 포함된 췌장암 환자 156명 전체의 수축기 혈압의 중앙값을 구하시오.
    방송통신대 | 7페이지 | 5,000원 | 등록일 2024.09.20
  • 네트워크 엔지니어 신입 기술면접 포트폴리오
    )#network 192.168.8.30 0.0.0.0R11(config-router)#network 192.168.4.1 0.0.0.0R4(config)#router eigrp 1R4 ... )#neighbor 39.113.0.1 remote-as 100R4(config)#router bgp 3R4(config-router)#bgp router-id 1.1.2.2R4(config-router ... )#neighbor 39.113.0.9 remote-as 100R5(config)#router bgp 4R5(config-router)#bgp router-id 1.1.4.4R5(config-router
    자기소개서 | 6페이지 | 3,000원 | 등록일 2022.11.02
  • (방송대) 다변량분석, 출석수업 과제물 (2023 1학기, 30점 만점)
    코드는 [그림3]과 같고, 그 결과는 [그림4], [그림5]와 같다. ... 변수명은 파이썬 산점도 행렬의 아래쪽과 왼쪽에 표기된다.교재 연습문제 2장(p.78) 4번. 다음은 1973년 미국 각 주의 강력범죄 자료이다. ... :__________________________________________________________________________________교재 연습문제 1장(p.38) 4번
    방송통신대 | 22페이지 | 4,000원 | 등록일 2024.03.10
  • 만 나이 통일법 안내문
    행복세상을 여는 의성R-E-A-D-Y 교육가정통신문발 행: 의성초등학교발행일: 2023. 4. 19.담당 부서: 교무부[37338] 경상북도 의성군 의성읍 군청길 26 교무실: 833
    서식 | 2페이지 | 500원 | 등록일 2024.02.15
  • [방송통신대학교]컴퓨터구조_출석수업_평가과제(30점 만점)
    =============R4 ← shr(R5 + R6)=============① A필드 : 위에서 제시한 제어단어 내역표에 의해 MUX A에 ‘101’의 선택신호가 주어지면 ALU의 ... 도착 레지스터 R4로 보내지려면 디코더의 선택신호로 ‘100’이라는 2진 신호가 필요하다.④ F필드 : (R5 + R6)는 덧셈 연산이라 ALU에서는 덧셈에 해당하는 산술 마이크로 ... shift right'를 의미하는 니모닉으로 오른쪽 시프트(왼쪽의 남는 비트는 0으로 채움) 기능을 하며 필요한 선택신호는 ‘001’이다.⑥ 결론적으로 ‘R4 ← shr(R5 +
    방송통신대 | 6페이지 | 3,000원 | 등록일 2023.04.09
  • 한국방송통신대학교 통계데이터과학과 다변량분석 2022년 출석과제(만점)
    R과 파이썬 인자분석 결과 비교 요약표항목R파이썬비교상관계수행렬1.3 항목 참조2.4 항목 참조동일주성분의 정보의 양 및 누적정보량1) 고윳값이 1이상인 주성분은 2개2) 제 1주성분 ... 기술통계량과 같다.2.2.4 boxplot으로 분포 확인boxplot으로 확인한 분포 역시 R의 결과와 같다.2.2.5 인자분석 유의성 검정 ? ... R의 proma1 × factor 2 + ε4CALC = 0.00 × factor 1 + 0.92 × factor 2 + ε5ALG = 0.10 × factor 1 + 0.69 ×
    방송통신대 | 50페이지 | 5,000원 | 등록일 2024.07.11
  • 2023년 2학기 방송통신대 바이오통계학 중간과제물)만 20세 성인 여성의 평균 신장을 추정하기 위하여 100명의 만 20세 성인 여성을 모집하여 신장을 측정하고 평균을 계산하였다 등
    풀이과정이나 R 명령문을 같이 제출하시오. (4점)(4) 이 30명이 대표하는 모집단의 평균 신장에 대한 95% 신뢰구간을 구하시오.풀이과정이나 R 명령문을 같이 제출하시오. (4점 ... R 명령문과 출력결과를 제출하시오.(4점)(3) (2)에서 수행한 가설검정 결과를 해석하시오. (2점)4. 참고문헌1. ... R 명령문과 그래프를 제출하시오. (4점)(2) 30명 전체의 평균 신장을 구하시오.
    방송통신대 | 7페이지 | 20,000원 | 등록일 2023.09.03 | 수정일 2023.10.09
  • 러쉬 LUSH
    LUSH기업분석과마케팅 SWOTSTP,4P전략INDEX1. 러쉬 LUSH 기업소개2. 러쉬 LUSH 기업설립배경3. 러쉬 기업전략의 방향성4. 러쉬 제품제조방식5. ... 러쉬 마케팅 4P전략 분석(1) Product (제품전략)(2) Price (가격전략)(3) Place (유통전략)(4) Promotion (프로모션전략)8. 결론 및 느낀점9. ... 러쉬 SWOT분석(1) Strength(2) Weakness(3) Opportunity(4) Threat6.
    리포트 | 7페이지 | 3,600원 | 등록일 2021.05.28
  • 바이오통계학 2024년 2학기 방송통신대 중간과제물)만 7세 남자 아동의 평균 몸무게를 추정하기 위하여 만 7세 남자 아동 100명을 모집하여 몸무게를 측정하고 평균을 계산하였다. 다음의 개념이 각각 모집단, 표본, 모수, 통계량 중 무엇에 해당 등
    R 명령문과 출력결과를 제출하시오. (4점)(4) (3)에서 수행한 가설검정 결과를 해석하시오. (4점)4. 참고문헌1. ... R 명령문과 히스토그램을 제출하시오. (4점)① R로 데이터 읽고 저장하기 ② factor 형태로 저장 ③ 히스토그램 그리기(2) 이 데이터에 포함된 췌장암 환자 156명 전체의 수축기 ... R 명령문과 히스토그램을 제출하시오. (4점)① R로 데이터 읽고 저장하기setwd("C:/Users") # 작업 디렉토리 설정dat0 %) 사용.library(dplyr)dat1
    방송통신대 | 7페이지 | 15,000원 | 등록일 2024.09.09 | 수정일 2024.09.17
  • [예제를 통한 회귀분석] 4, 5장 회귀진단, 질적예측변수 풀이 solution
    ] 표 4.8의 데이터를 고려하자. ... 내적표준화 잔차의 정규확률플롯4. 잔차 대 적합값플롯5. 잠재성-잔차플롯6. Cook 거리에 대한 인덱스플롯7. ... [4.6] 에 대한 Y의 회귀에서, 다음 그래프들은 통상적인 최소제곱 회귀의 가정들을 평가하기 위해 사용된다.1. Y 대 각 예측변수 의 산점도2. 의 산점도행렬3.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.07.18 | 수정일 2022.10.19
  • [미디어영상학과] 2024년 1학기 1인미디어기획제작 출석수업대체시험 과제물(1인 미디어 채널 기획)
    최근 4차 산업혁명으로 빅데이타 분석이 부각되었으며, R이 학술분야와 산업분야에서 널리 사용되고 있다. R은 오픈소스 기반의 객체지향 언어이다. ... R의 뉴스레터와 R-뉴스 및 많은 웹기반의 튜토리얼과 매뉴얼을 제공한다.본 기획은 R기초, 자료 입출력, 그래픽 등의 다양한 예시를 설명하며, 다양한 분야별(기계학습, 군집분석, 시계열 ... R은 이외에도 부가적인 장점을 가진다.
    방송통신대 | 9페이지 | 19,000원 | 등록일 2024.04.19
  • 과학치안진흥센터 최종합격 면접 발표자료+면접노하우 공유 PPT
    0000. 00. 00C ontents 1 지원동기 2 연구분야 및 대표 성과 3 업무계획 4 기여방안1 지원동기 ■ 3 국가 과학치안 R D, 혁신성장의 Think Tank 사업관리 ... 기수행업무 ) 01 02 03 04 ( 기수행업무 ) ( 기수행업무 ) ( 기수행업무 )3 업무계획 ( 단기 업무계획 ~ 중장기 업무 목표 ) ■ 7 Check 업무계획 ▶ ▶ ▶4 ... 과학치안진흥센터 ㅇㅇㅇㅇㅇㅇㅇㅇ ㅇㅇㅇㅇㅇㅇ 과학치안 R D Think Tank!!
    자기소개서 | 10페이지 | 40,000원 | 등록일 2023.11.23
  • 재무관리 ) 이성수 씨는 퇴직연금으로 향후 10년간 매년 5,000만원을 받을 수 있지만, 주택을 구입하기 위해 이 연금을 일시금으로 받기
    (소수점 4자리까지 계산하시오)3) 두 투자안의 표준편차를 각각 구하시오(소수점 4자리까지 계산하시오)4. 출처 및 참고문헌1. ... (소수점 4자리까지 계산하시오)3) 두 투자안의 표준편차를 각각 구하시오(소수점 4자리까지 계산하시오)재무관리(출석)목차1. ... 구하여야 한다, 연금수령액(A)=50,000,000원, 연금수익률(r)=3%, 납입기간(n)=10년이므로 현재가치(PVA)를 구하는 식에 대입한다,PVA = A * {((1+r){
    방송통신대 | 4페이지 | 5,000원 | 등록일 2024.07.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대