• 통큰쿠폰이벤트-통합
  • 통합검색(155)
  • 리포트(152)
  • 자기소개서(3)

"HBE-COMBO" 검색결과 141-155 / 155건

  • VHDL을 이용한 신호등 설계 프로젝트
    실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량신호등과, ... 동->남->서)의 순서로 신호등을 활성화시킨다. ... 데이터 Flow chart- 일련의 진행흐름을 위와 같이 표로 나타내었다.
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    측정할 수 있다▼ 사용된 툴과 키트 소개- 사용 툴 : Quartus2- 키트 : HBE-COMBO2[FPGA] > Cyclone2 (EP2C35F672C8N)▼ 핵심 알고리즘과 ... (月)< 목 차 >◎ 개 요 - - - - - - - - - - - - - - - - - - - - - - - - - - 3 page◎ 목 표- - - - - - - - - - - ... - - - - - - - - - - - - - - - 3 page◎ 내 용- 설 명 - - - - - - - - - - - - - - - - - - - - - - - - 4 page
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • VHDL이용, Digital Clock(디지털 시계)및 부가기능(타이머, 알람, 세계시간등)제작
    Purpose of this Lab- HBE-COMBO 2-SE를 이용하여 설계를 하는 실험을 한다. ... 부가기능-목차2-1. 스탑워치 2-4. 세계시계2-2. 타이머2-5. 달력2-3. 알람2-6. ... - down_os 인 one-shot enable이 들어오게되면 요일을 추가해주는 구문- 각 월별 마지막날에 다음 달로 넘어가는 부분을 설정하는 if문- 처음 기본설정을 PM 11:
    리포트 | 22페이지 | 5,000원 | 등록일 2014.02.14 | 수정일 2021.08.25
  • 제왕절개 c/sec
    임신력 및 분만력연령 36세 임신회수 2 회 분만회수 2 회 분만형태 PCS C/Sec분만합병증 ( - ) 혈액형 Rh+ B임신시의 특이사항 HBs Ag(+), HBe Ag(+)재태기간 ... 더위를 참지 못하고 맥박이 빨라지며(빈맥), 두근거림, 손 떨림이 나타나거나 대변 횟수가 증가할 수 있다VDRL (RPR)negativeNegative매독검사HIV Ag/Ab(COMBO ... microscophyU.RBC0-41-4/HPFU.WBC0-41-4/HPF혈청검사TSH (EIA)0.49-4.670.10▼갑상선기능항진증식욕이 왕성함에도 불구하고 오히려 체중이 감소할
    리포트 | 14페이지 | 2,000원 | 등록일 2013.06.03
  • 종합설계 신호등 제어 중간보고서
    HBE-COMBO KIT 이용하여 LCD 하드웨어 설계 단계를 Verilog HDL로 문자 표현 검증. 2. ... 본, 신호등 제어기에서는 전압을 낮추는데 사용함. - Device 명 : LM2575T-5VLCD*LED - LED(Light Emittin Diode)란 발광다이오드의 약자로서 전류가 ... 흐르면 빛을 방출하는 다이오드의 한 가지. - 전기 신호를 적외선 또는 빛으로 신호를 주고 받는 반도체의 일 종.
    리포트 | 21페이지 | 3,000원 | 등록일 2009.07.20
  • 2010하반기 LG CNS 이공계(서류합격 자소서)
    Labview를 이용하여 무선탐사로봇을 제작, HBE-COMBO와 VHDL을 사용하여 제작한 신호등, C를 이용한 TCP/IP정보수집 프로그램설계, Matlab을 이용한 수신기 설계
    자기소개서 | 2페이지 | 3,000원 | 등록일 2010.12.17 | 수정일 2022.02.20
  • 2010하반기 LG이노텍 이공계(서류합격 자소서)
    제가 진행했던 프로젝트로는 Labview를 이용하여 무선탐사로봇을 제작하는것 부터 HBE-COMBO와 VHDL을 사용하여 제작한 신호등, 그리고 Matlab을 이용해 제작한 Inverted
    자기소개서 | 3페이지 | 3,000원 | 등록일 2010.12.17 | 수정일 2022.02.20
  • 종합설계 최종 보고서
    KIT 검증(3) Led/7segment dis HBE-COMBO면 Simulation이나 하드웨어 구현까지 할 수 있으으로,(EP10K200RC204-4)을 이용하였다. ... 테스트2) H/W 설계 및 검증(1) 전체 시스템 블록도(2) LCD display 검증가 Lcd display 블록도나 MAX+plusⅡ (compile / programer)다 HBE-COMBO ... 통합 구현.(3)이론 및 보고서- 신호등 제어 원리 이해.- Verilog 언어의 이해.- Modelsim Tool 사용법 습득.- CPLD 동작원리의 이해.- 전원부/ LCD 표시부
    리포트 | 26페이지 | 3,500원 | 등록일 2009.07.20
  • VHDL Digital Watch(LCD) VHDL PPT입니다.
    Project - Digital Watch -4조 Proposal목 차Work Plan Roles of Members Intended Functionalities Brief DesignWork ... 날짜(년, 월, 일)표시 기능 - 자료수집 및 Source code 작성 : Additional Functionality – 정각 알림 기능(LED로 표시) – 자료수집 및 Source ... 제출Roles of Members: Basic Requirement Digital Watch 기능 자료 수집 및 Source code 작성 : Additional Functionality -
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
  • verilog coding을 이용한 Adder&Subtractor
    Subtractor실험목표1. 4Bit Adder를 설계한다.2. 8Bit Adder/Subtractor를 설계한다.실험준비물Altera Quartus II 4.0 software, HBE-COMBO ... 보드배경지식1. 4Bit Adder2. 8Bit Adder/Subtractora. 8Bit Adderb. 8Bit SubtractorHaving an n-bit adder for ... /******************************************************************************4Bit Adder with carry-in
    리포트 | 2페이지 | 1,000원 | 등록일 2009.05.07
  • 2010하반기 LG전자 이공계(서류합격 자소서)
    제가 진행했던 프로젝트로는 Labview와 모터, 센서를 이용하여 무선탐사로봇을 제작하는것 부터 HBE-COMBO와 VHDL을 사용하여 제작한 모형 신호등, 그리고 Matlab의 Simulink와
    자기소개서 | 2페이지 | 3,000원 | 등록일 2010.12.17 | 수정일 2022.02.20
  • max plus2를 이용한 디지털시계
    max plus2를 이용한 디지털시계 입니다.제가 직접 실습프로잭트로 스키메틱을 이용하여 짰으며 에러는 없습니다. 핀 번호까지 모두 지정하여 놨습니다.8개 7세그먼트중 7개를 이용하였고 처음 1개는 am/pm을 나오도록 하였으며 그다음2개는 시간 그다음 2개는 분 그다..
    리포트 | 3,000원 | 등록일 2005.06.24
  • Nand 연산을 이용한 Xor 게이트 구현과 간단한 Adder 구현
    우리가 사용할 HBE-Combo 보드에 FPGA 칩인 Altera 사의 ACEX 중에 1K로, Device Family설정에서 설정 해준다.4. ... Full-Adder- half-adder두개를 서로 이어서 기존의 input이 2개 였던 것을 3개로 만들었고, 이에 결과 값은 기존의 S 값과 carry이 얻어진다.- half-adder를 ... FULL-ADDER설계▶ Half adder- 2진연산의 특성상 두 input에 같은 신호가 들어가면 output 값이 나오지 않는다(즉, value=0)- 두 input에 서로 다른
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.07
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    시뮬레이션 결과그림 5 1100원 투입, 우유선택 후 500원짜리1개, 100원짜리3개 반환4 시뮬레이션 결과그림 6 500원 투입, 커피, 우유 선택 후 100원짜리 1개 반환5 HBE-Combo를 ... 설계when return_mode = -- 잔액이 500원 이상일때 if tmp = 5 then re_500a = re_500a + 1; tmp = tmp - 5; --잔액에서 500원을 ... 1000원 단위 flag2 = tmp - 30; -- 100원 단위 elsif tmp = 20 then flag1 = 2; flag2 = tmp - 20; elsif tmp = 10
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • Altera FPGA 와 Max+2 를 이용한 알람 기능의 디지털 시계 설계 및 구현
    - inc_DSP_indicator : Chagne_mode가 변경시키는 모드 신호를 참조하여 해당 시그널의 정보를 할당하여준다. ... 여기서는 하나의 segment 점멸정보를 8bit의 Com신호의 설정상태를 확인하여 해당 7segment 활성화 하여 숫자를 Display 한다....- change_mode : 장비의
    리포트 | 41페이지 | 2,500원 | 등록일 2007.03.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대