• 통큰쿠폰이벤트-통합
  • 통합검색(13,052)
  • 리포트(10,701)
  • 자기소개서(1,686)
  • 시험자료(372)
  • 방송통신대(143)
  • 서식(71)
  • 논문(57)
  • ppt테마(13)
  • 이력서(7)
  • 노하우(2)

"전자전기설계2" 검색결과 141-160 / 13,052건

  • 2019년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비6 위상 제어 루프(PLL)
    1/2W, 5% 3개저항 1kΩ, 1/2W, 5% 2개저항 5.1kΩ, 1/2W, 5% 1개저항 10kΩ, 1/2W, 5% 2개저항 20kΩ, 1/2W, 5% 3개3.설계실습 ... 1.실습 목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화(Phase Locking) 원리를 이해한다.2.실습 준비물부품저항 100Ω,
    리포트 | 9페이지 | 1,500원 | 등록일 2020.09.05
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 6주차 예비+결과(코드포함) Sequential_Logic_Design_I Flip-Flop, Register and SIPO
    - 1/2 Clock Divider의 설계 code는 Fig.1 및 Fig.2과 같다. ... Abstract Flip-Flop을 사용하여 clock divider를 설계한다. 1/2, 1/4, 1/5 divider를 설계하고, Master Slave 1bit JK Flip ... 설계 및 시뮬레이션 검증을 할 예정이다.II.Clock Divider & Master Slave 1bit JK Flip Flop 설계 및 시뮬레이션A.1/2 Clock Divider
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 전자전기컴퓨터설계2 FinalProject [A+]
    _TEXT = {G2_1,G2_2,G2_3,G2_4,G2_5,G2_6,G2_7,G2_8,G2_9,G2_10,G2_11,G2_12,G2_13,G2_14,G2_15,G2_16};endend ... 2=G2_4; G2_4=G2_5; G2_5=G2_6; G2_6=G2_7; G2_7=G2_8; G2_8=G2_9; G2_9=G2_10; G2_10=G2_11; G2_11=G2_12; ... G2_12=G2_13; G2_13=G2_14; G2_14=G2_15; G2_15=G2_16; G2_16=`space;CNT_250HZ = 0;LED[0]=0;LED[1]=0;LED[
    리포트 | 82페이지 | 1,000원 | 등록일 2017.10.19
  • KCU 숭실사이버대 전기전자기초설계 과제2 A+ 레포트
    ^{2}} over {T} int _{0} ^{T} {{1-cos2wt} over {2} `dt}} = sqrt {{V _{m} ^{2}} over {2T} TIMES (T- {1 ... } over {2w} sin2wT-0)} (w= {2 pi } over {T} 대입)#````````````= sqrt {{V _{m} ^{2}} over {2T} TIMES (T- ... {T} (V ^{2} {T} over {2} -0)+ {1} over {T} (V ^{2} T-V ^{2} {T} over {2} )} = sqrt {{1} over {T} (V
    리포트 | 2페이지 | 2,000원 | 등록일 2018.12.28
  • 전자전기컴퓨터설계실험1(전전설1) (2) 계측기2(오실로스코프, 함수 발생기)
    계측기2(오실로스코프, 함수 발생기)post-lab report계측기2(오실로스코프, 함수 발생기)post-lab report과목명전자전기컴퓨터설계실험1담당 교수전공 학부전자전기컴퓨터공학부학번성명제출 ... 실험 목적 (03)2. 실험 이론 (03)2.1. 함수 발생기(Agilent 33220A) (03)2.2. 오실로스코프 (04)2.2.1. 오실로스코프의 개념 (04)2.2.2. ... 프로브 (04)2.2.3. 오실로스코프의 디스플레이 영역 (05)2.2.4. 디스플레이 화면 컨트롤 (06)2.2.5. 오실로스코프의 편리한 기능 (06)Ⅱ. 본론 (07)1.
    리포트 | 16페이지 | 2,000원 | 등록일 2019.05.18 | 수정일 2021.04.29
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    HDL(Hardware description language)말 그대로 하드웨어를 표현하는 언어라는 뜻으로 전기, 전자회로적인 특성을 기술하는 언어이다. ... 첫 번째 실험에서와 마찬가지로 하드웨어적으로 구현하여 전기신호가 가는 지를 보고 그 결과를 비교해야한다.Ⅵ. ... 설계과정에서 사용하는 클럭의 입력은 1MHz, 1kHz, 1Hz의 오실레이터 클럭과 사용자가 임의의 주파수를 사용할 수 있는 User Clock으로 구성된다.2.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [결과레포트]
    설계기술이나 공정과 무관한 설계낮은 설계 비용표준 HDL 및 사용자의 확대효율적인 설계관리Verilog HDL 문법 : 어휘규칙여백 : 어휘 토큰들을 분리하기 위해 사용되는 경우를 ... Essential Backgrounds (Required theory) for this LabVerilog HDL 문법 : HDL 기반 설계의 장점설계 시간의 단축설계의 질 향상특정 ... Introduction (실험에대한소개)‥‥‥‥‥‥‥‥‥‥‥‥2-8가. Purpose of this Lab‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 2나.
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 TTL gates Lab on Breadboard [결과레포트]
    Introduction (실험에대한소개)‥‥‥‥‥‥‥‥‥‥‥‥2-8가. Purpose of this Lab‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 2나. ... 만약 입력 중 어느 한 쪽이라도 참이 아니라면 AND 게이트의 결과 출력은 거짓이 된다.반가산기그림 SEQ 그림 \* ARABIC 6 반가산기2진 신호(0, 1)에 대하여 2개의 입력과 ... 2개의 출력을 가지고 출력 신호가 입력 신호에 대하여 다음 도표에 있는 회로.
    리포트 | 21페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    HDL(Hardware description language)말 그대로 하드웨어를 표현하는 언어라는 뜻으로 전기, 전자회로적인 특성을 기술하는 언어이다. ... 설계과정에서 사용하는 클럭의 입력은 1MHz, 1kHz, 1Hz의 오실레이터 클럭과 사용자가 임의의 주파수를 사용할 수 있는 User Clock으로 구성된다.2. ... Half Adder를 Schematic으로 설계하시오1. Schematic 시트를 생성Schematic 으로 새로운 프로젝트를 생성하고 Schematic 파일을 생성한다.2.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [예비레포트]
    설계기술이나 공정과 무관한 설계낮은 설계 비용표준 HDL 및 사용자의 확대효율적인 설계관리Verilog HDL 문법 : 어휘규칙여백 : 어휘 토큰들을 분리하기 위해 사용되는 경우를 ... Essential Backgrounds (Required theory) for this LabVerilog HDL 문법 : HDL 기반 설계의 장점설계 시간의 단축설계의 질 향상특정 ... Introduction (실험에대한소개)‥‥‥‥‥‥‥‥‥‥‥‥2-8가. Purpose of this Lab‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 2나.
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 TTL gates Lab on Breadboard [예비레포트]
    gate IC(3) 저항(Resistor) – 4.7k 2개, 330 2개(4) LED – RED 2개(5) SW – 2pole DIP 스위치 1개3. ... Introduction (실험에대한소개)‥‥‥‥‥‥‥‥‥‥‥‥ 2-8가. Purpose of this Lab‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 2나. ... 만약 입력 중 어느 한 쪽이라도 참이 아니라면 AND 게이트의 결과 출력은 거짓이 된다.반가산기그림 SEQ 그림 \* ARABIC 6 반가산기2진 신호(0, 1)에 대하여 2개의 입력과
    리포트 | 17페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습 [예비레포트]
    the Lab 2.Lab 1에서 설계한 Half Adder를 아래 그림과 같이 Module Instance Symbol로 호출하여 1-bit Full Adder를 설계하시오.(3) ... 실험을통해구하고자하는데이터와이를획득하기위한실험순서(1) Procedure of the Lab 1.Half Adder를 Schematic으로 설계하시오.(2) Procedure of ... 37 4-bits RippleCarryFullAdder 확인2_TestBench 코드2그림 SEQ 그림 \* ARABIC 38 4-bits RippleCarryFullAdder 확인2
    리포트 | 27페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계실험2(전전설2)3주차예비
    HDL(Hardware description language)말 그대로 하드웨어를 표현하는 언어라는 뜻으로 전기, 전자회로적인 특성을 기술하는 언어이다. ... Verilog HDLVerilog는 HDL 중 하나로 전자 회로 및 시스템에서 쓰이며 회로 설계 및 검증, 구현 등의 용도로 사용된다. ... 또한 gate primitive modeling 과 behavioral modeling의 차이를 이해하고 설계한다.2.
    리포트 | 18페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)1주차결과
    반가산기의 경우 입력이 2개, 출력이 2개이다. ... 일반적으로 5V 단일 전원의 집적 회로로 만들어졌다.2. ... 예를 들어 input에 전기를 흘려주게 되면 처음 TTL 14번 핀에 흘려주던 5V가 base 전류가 되어 output에서 전류를 인지하게 되고 그게 전기적 신호로 나타나 논리를 구현할
    리포트 | 14페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)6주차예비
    설계과정에서 사용하는 클럭의 입력은 1MHz, 1kHz, 1Hz의 오실레이터 클럭과 사용자가 임의의 주파수를 사용할 수 있는 User Clock으로 구성된다.2. ... 데이터 저장과 전송데이터는 0,1로 이루어진 2진법으로 이루어져있다. ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)4주차예비
    감산기 모델링앞서 설계한 1bit 감산기는 2의 보수를 사용하여 가산을 방식을 취하는 감산기였다. ... 설계과정에서 사용하는 클럭의 입력은 1MHz, 1kHz, 1Hz의 오실레이터 클럭과 사용자가 임의의 주파수를 사용할 수 있는 User Clock으로 구성된다.2. ... 시뮬레이션Functional simulation디바이스 고려 없이 설계한 Design File의 기능만으로 검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없 ((x
    리포트 | 16페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)6주차결과
    설계과정에서 사용하는 클럭의 입력은 1MHz, 1kHz, 1Hz의 오실레이터 클럭과 사용자가 임의의 주파수를 사용할 수 있는 User Clock으로 구성된다.2. ... 데이터 저장과 전송데이터는 0,1로 이루어진 2진법으로 이루어져있다. ... 핀 번호 설정핀 번호를 설정해준다.핀 설정은 NET “소자이름” LOC = “P번호”;2.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험3(전전설3)2주차결과
    " [1-3]6[2-1]8 Hyperlink \l "_Toc414807359" [2-2]9[2-3]11[2-4]13 Hyperlink \l "_Toc414807360" Ⅳ. ... 실험목적2 Hyperlink \l "_Toc414807352" Ⅱ. 배경이론 및 지식2 Hyperlink \l "_Toc414807356" Ⅲ. ... 이를 통해 응답함수의 크기를 구할 수 있다.2.
    리포트 | 19페이지 | 2,000원 | 등록일 2017.02.09
  • 전자전기컴퓨터설계실험2(전전설2)3주차결과
    HDL(Hardware description language)말 그대로 하드웨어를 표현하는 언어라는 뜻으로 전기, 전자회로적인 특성을 기술하는 언어이다. ... Verilog HDLVerilog는 HDL 중 하나로 전자 회로 및 시스템에서 쓰이며 회로 설계 및 검증, 구현 등의 용도로 사용된다. ... 또한 gate primitive modeling 과 behavioral modeling의 차이를 이해하고 설계한다.2.
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)5주차결과
    실험결과 (Results)1. 2비트 2:1 MUX를 설계하시오.코딩(text)// 2to1muxmodule two_one_mux(a,b,s,q);input [1:0] a,b;input ... 설계과정에서 사용하는 클럭의 입력은 1MHz, 1kHz, 1Hz의 오실레이터 클럭과 사용자가 임의의 주파수를 사용할 수 있는 User Clock으로 구성된다.2. ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로
    리포트 | 20페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대