• 통큰쿠폰이벤트-통합
  • 통합검색(246)
  • 리포트(182)
  • 시험자료(57)
  • 방송통신대(3)
  • 논문(2)
  • 자기소개서(2)

"레지스터맵" 검색결과 141-160 / 246건

  • 컴퓨터 시스템 구조 11장 연습문제 레포트
    다음페이지에 풀이 계속11-22번 문제풀이 (계속)1) 우선순위 진리표를 이용하여 X, Y, (IST) 식을 유도한다.IST 는을 제외한 모든 경우의 식이 다 포함된다.2) 각각의 카르노 맵을 ... IBF는 CPU가 데이터 레지스터의 값을읽은 다음의 I/O 읽기 신호를 내놓으면 낮은 논리 레벨로 변한다.a. ... STB의 낮은 논리 레벨신호는 I/O 버스로부터 인터페이스 데이터 레지스터로 데이터 전송되게 하고, IBF의 높은 논리레벨 신호는 인터페이스가 데이터를 받아들였음을 나타낸다.
    리포트 | 6페이지 | 1,500원 | 등록일 2008.12.28
  • [전자,전기,제어] 마이크로 프로세서
    레지스터 파일 구조상위RAM레지스터 파일SFR(special function register)SP(stack point)윈도우를 이용하여 직접 번지 지정으로 데이터 액세스직접, 간접 ... 값을 임시로 저장하는 공간 내부 레지스터나 외부 RAM 중 임의 곳 지정 가능 스택 포인터는 제일 높은 번지로 초기 설정4.1 레지스터 파일(register file)4. 2 스택 ... RALU(register/arithmetic logic unit)전 레지스터 공간을 직접 액세스 SFR을 이용하여 I/O 제어 연산속도와 메모리 효율이 향상 메모리 컨트롤러를 통해
    리포트 | 20페이지 | 1,000원 | 등록일 2002.11.28
  • 업다운카운터
    업과 다운을 구별하는 입력을 준다.3. 110과 111은 카노맵에서 don't care처리한다. ... 카운트는 2진, 2진화 10진(10진, BCD), 랜덤, 동기, 리플, 링, 시프트 레지스터 등의 종류가 있다.● 2진 업/다운 카운터-때때로 업 카운터 대신에 다운 카운터가 필요하다 ... 업과 다운을 구별하는 Input을 준다.3. 111은 카노맵에서 don't care처리한다.(어차피 초기에 000으로 리셋 하면 111이라는 상태가 나올 수 없으므로)4.
    리포트 | 10페이지 | 1,000원 | 등록일 2011.09.26
  • 실험9.PLD의 기초
    OLMC은 내부설정에 의해 Simple 모드, Complex 모드, 그리고 Registered 모드의 3가지 옵션을 제공하는데 이는 데이터 시트를 통해 확인 할 수 있다.1) GAL ... 테스트-GAL프로그램 컴파일하여 jed파일 생성-jed파일을 GAL 프로그래머로 칩에 굽기(Top2004 rom writer 및 TopWinEn을 사용)(1) 진리표를 작성하고 카노맵으로
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • 임배디드 소스 부트로더 분석
    -부트로더 소스 코드 분석 및 레지스터 조사-init_sdram:mov r10, lr//레지스터들은 메모리에 맵핑될때 관련된 레지스터들이 연속으로 맵핑된다. ... , #CKEN]// 0x41300000 + 0x04 에 값을 써넣음 /clock enable register*Clock Enable Register(CKEN) - Address : ... - 32bit counter 로서 3.6863MHz의 rising edge시에 1씩OSCV : OS Timer Counter Value이 Register의 값은 OSMR Register의
    리포트 | 10페이지 | 4,000원 | 등록일 2008.06.10
  • 패키징 기본 지식
    영속적인 기억이 가능하다.읽기 전용이며, 접근 속력이 느리다.DRAM읽고 쓸 수 있으며, CPU 레지스터와 캐시 메모리를 제외하고 접근 속력이 기억 장치 중에서 가장 빠르다.CPU ... 커널과 initrd 이미지만을 사용할 경우에는 메모리 맵을 특별히 신경쓸 필요가 없으나 MTD를 적용할 경우에는 부트스트랩로더, 커널, MTD 파티션을 각각 메모리 맵에 할당해야 한다 ... 설계 일반 PC나 워크스테이션과는 달리 1바이트가 아까우므로, 최적화해서 메모리 맵을 나눠써야 한다.
    리포트 | 33페이지 | 3,000원 | 등록일 2010.07.26
  • 8논리회로의 단순화-예비,결과보고서 디지털공학실험
    레지스터 A에는 유효한 BCD 코드만이 존재하도록 하고 무효 BCD 코드가 감지되면 경고 신호가 나온다. ... 여기서 회로는 4비트용으로 설계하지만 레지스터 A를 위해 8비트로 쉽게 확장될 수 있다.입 력출 력X0 00 010 00 100 01 000 01 100 10 010 10 110 11 ... 이 예에서 맵은 입력을 표현하는 숫자들을 사용하여 그려진다. 출력함수의 해당 값들은 진리표로부터 입력된다. 이 맵은 맵 상에서 1을 포함하는 이웃하는 셀들을질 수 있다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.11.16
  • 마이크로프로세서의 종류와 특징
    온칩 형태이기 때문에 오프칩의 메모리맵과는 다른 형태로 메모리영역이 줄어들게 되어, 데이터 처리에 있어 제한된 기능만을 수행하는 형태가 될 수밖에 없다.앞서 말한바와 같이 MCU는 ... 반하여 MCU는 연산이 목적이 아닌 말 그대로 컨트롤 목적이므로 Off chip형태가 아닌 통합된 칩인 On chip형태를 이루고 있다.컨트롤러는 사용자가 직접컨트롤 하여, 메모리맵 ... 그래서 컴퓨터의 램이 외부에 장착되어 있다.이 CPU는 보통 컴퓨터에서 쓰이는 중앙처리장치를 말하고, 연산처리에 필요한 주기억장치가 크다는 것과 CPU의 메모리맵이 isolate방식으로
    리포트 | 15페이지 | 1,500원 | 등록일 2008.11.19
  • 컴퓨터 시스템 구조 12장 연습문제 레포트
    메모리 맵된(mapped) I/O가 사용되며 주소 버스가상단부 두 비트는 RAM에 대하여 00, ROM에 대하여 01, 인터페이스 레지스터에 대하여 10으로지정된다.a. ... 그 시스템에 대한 메모리 주소맵을 그려라.12-5. b)번 문제풀이ComponentHexadecimal AddressAddress Bus*************876543210RAM0000 ... 장치가 있으므로,주소버스의 4개의 라인이 각 레지스터를 선택하기 위해 사용.또한 최상위 비트에서 인터페이스를 선택하는 비트가 10 이므로, 따라서인터페이스는 16진수로 8000 -
    리포트 | 5페이지 | 1,500원 | 등록일 2008.12.28
  • [컴퓨터과학]컴퓨터 이론의 기초
    다음 부울 함수를 카노우 맵(Karnaugh Map)을 사용하여 간소화하고 논리회로를 구성하시오.(1) F(a,b,c) = ∑m(0,2,4,5,6)a bc000111100100111101C ... 인터럽트는 프로세서가 어떤 일을 처리하는 도중에 특별한 입력이나 사건이 발생했 을 때 특정 사건에 해당하는 일을 수행하도록 하기 위해서 사용한다.(9) MAR(Memory Address Register ... ( 레지스터 )(8) CPU 내에서 다음 수행할 명령어의 주소를 저장하는 레지스터는?
    리포트 | 9페이지 | 1,500원 | 등록일 2005.12.30
  • [공학]adder, subtracter & decoder
    시프트 레지스터 2개에 입력 A, B를 넣어 LSB(least significant bit)가 맨 오른쪽에 오도록 하고 전가산기의 Sum Carry를 저장하도록 Sum register와 ... 가산되어 나온 Sum은 Sum register에 저장되고 Carry를 플립플롭에 일시 저장, 다음 비트의 가산에 Carry 입력으로 들어간다. ... .① 구성하고자하는 조합회로에 대해 Truth Table를 만든다.② Truth Table을 바탕으로 카노 맵을 만든다.③ 카노 맵을 풀어서, 부울 함수를 만든 다음, 함수를 최소화
    리포트 | 12페이지 | 3,000원 | 등록일 2007.06.18 | 수정일 2015.08.26
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 6-예비,결과 보고서
    즉 S0=0, S1=1이면 위쪽의 레지스터는 오른쪽으로 shift 될 것이고 아래쪽의 레지스터는 왼쪽으로 shift될 것이다.처음에 S0=S1=1 이기 때문에 현재 입력 값이 두 register ... 100000100000010010110011010000001000111001100100001Moore machine sequence detector 상태할당표할당표를 바탕으로 카노맵을 ... 설계된 곱셈기를 살펴보면 첫 번째와 두 번째 74194 IC는 register_A의 역할을 한다. 2개의 74194를 사용해서 8bit register를 구성했으며, 입력된 A,
    리포트 | 13페이지 | 1,000원 | 등록일 2009.01.25
  • 용어의 원어과 개념 조사 과제
    맵핑 메모리기능을 사용하면, 어플리케이션을 에뮬레이터의 메모리 상에 놓고 프로그램의 동작확인이 가능하기 때문에 효율적으로 에러의 수정을 시행할 수 있다.7. ... ICS(In-Circuit Simulator)- ICS는 프로세스안의 레지스터에 접근하여 읽고쓰는 작업을 시뮬레이션하는 소프트웨어대상으로 하는 컴퓨터를 대신하여 다른 기종의 컴퓨터가 ... 특정 명령을 실행할때마다 지정 된 메모리의 내용을 출력하는 싱글스텝 기능, 어셈블 기능, 역 어셈블 기능등이 있다.또한, 실행시간을 실시간으로 확인 가능한 리얼타임 트레이스 기능, 레지스터로의
    리포트 | 2페이지 | 1,000원 | 등록일 2010.06.18
  • 정보사회와 컴퓨터
    결과를 출력장치 로 보내는 일련의 과정을 제어하고 조정하는 일을 수행 제어 장치 (Control Unit)와 연산 장치(ALU: Arithmetic Logic Unit) 그리고 레지스터 ... (register)로 구성IntelAMD컴퓨터 내부 대탐험기억 장치(Storage)주기억 장치 : 실행할 프로그램이나 자료를 주기억 장치에 기억 시킨 다음 프로그램의 명령에 따라 자료를 ... 고정소수점 표현방식 - 정수의 표현, 왼쪽 1비트는 부호비트 부동소수점 표현방식 - 지수부와 가수부로 표현 소리 데이터 표현 방식에 따라 – 웨이브 형식 - 미디형식 그래픽 데이터 비트맵
    리포트 | 17페이지 | 2,000원 | 등록일 2008.10.25
  • 솔루션 제안서 셈플(무선 망 묶음 기술)
    HLR(Home Location Register) : 대용량의 실시간 DB 를 갖추고 , 망구성요소들에서 요구하는 이동가입자 혹은 이동 단말기에 대한 정보를 처리해주는 망구성요소 . ... 망 확인 ue 에서 받는 요청을 리스트화하고 관리 전송 완료 , 재전송 등의 처리 기능 사용자가 요청한 data Searching Data 전송 Buffer 구현 망별 프로토콜 맵핑
    리포트 | 20페이지 | 3,000원 | 등록일 2012.02.28
  • 논리회로 간소화 실험 예비레포트
    회로는 4비트로 설계하지만, 레지스터 A에 대해 8비트로 확장이 간단히 된다. 그림 8-3은 부당한 코드 탐지하는 시스템이다. ... A1A2A1B2B1B2A2B1A2B2A2A1X=A1A2+A122+12+A21+A22B2B1그림 8-2§ 실험순서BCD-부당한 코드 탐지기이번 실험에서는 계수기와 제어 시스템의 확장으로서, 단지 유효한 BCD코드가 레지스터 ... 카노맵을 그릴 때, 변수들은 맵의 옆면과 맨 위에서부터 그레이코드 순열로 쓰여진다. 맵 위의 각 셀은 진리표의 한 행과 대응된다.
    리포트 | 6페이지 | 1,500원 | 등록일 2007.06.24
  • 8031(8051) 특징
    (SFR : Special Function Register)→ 128바이트의 사용자 메모리 영역(RAM)Microcontroller는 CPU와 ROM, RAM, Parallel I/ ... 위와 같이 프로그램 하게 된 이유는 우리가 설계한 8031보드의 메모리 맵에 따라서 결정된 것이다.♣ PAL 출력 및 ADRESS TABLEPAL 출력AddressDeviceActive ... 새로운 카운터 값은 변화가 검출된 다음 사이클의 S3P1동안 레지스터에 나타난다. 1에서 0 트할 경우 레지스터는 클리어 되지 않는다.
    리포트 | 17페이지 | 1,000원 | 등록일 2008.09.06
  • C언어 기억클래스
    .◎ 8.2 프로세스 메모리 맵 구조프로그램의 메모리 구조CPU의 레지스터 (3)스텍힙데이터영역프로그램 영역각 메모리 설명메모리설명사용 변수스택 영역함수가 수행될때 스택에 자동적으로 ... 변수자동변수와 동일한 특징을 갖고 CPU의 레지스터에 저장된다. ... 참조속도는 빠르지만 레지스터 수 제한으로 실행속도가 중요한 문제일때만 사용해야한다.예제 8.5 소스예제 8.5 출력※변수 정리전역변수지역변수정적변수레지스터 변수지정자extern을 사용할
    리포트 | 10페이지 | 1,000원 | 등록일 2007.06.22
  • 라이브리스폰스에 관한 요약 정리
    실행파일의 코드를 수정한다.실전 윈도우 포렌식RFC 3227NIST SP 800-86물리적메모리레지스터, 캐시네트웍연결 정보네트웍 연결정보라우팅 테이블, ARP캐시로그온 세션프로세스정보프로세스 ... 정보물리적 메모리열린파일목록물리적 메모리프로세스 정보로그온 사용자(세션)임시 파일 시스템열린 파일열린TCP/UDP 포트정보디스크네트웍 설정정보프로세스와 포트맵핑원격로그온, 모니터링데이터시스템
    리포트 | 6페이지 | 3,000원 | 등록일 2012.05.14 | 수정일 2021.12.11
  • 어셈블리어 프로그래밍 IBM PC fifth 연습문제 5장 교보문고
    5.6의 링크맵LINK : warning L4021: no stack segmentStart Stop Length Name Class00000H 0003FH 00040H STACK ... 000DWORDPUBLIC'CODE'*일반적인 세그먼트 정의를 사용했을 때 DS를 정의하지 않아서 경고발생단순화된 세그먼트 정의를 사용했을 때 DS를 정의하지 않았음에도 자동으로 생성2.링크맵- ... 0004CH 0000DH CODESEG CODEProgram entry point at 0004:0000* 경고문구가 있음* PARA로 정의해서 0단위로 세그먼트 초기화 확인-5.7의 링크맵Start
    리포트 | 16페이지 | 1,000원 | 등록일 2007.04.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대